Verilog Blocking and Non Blocking statements | Blocking Vs Non Blocking | VLSI Interview Question

Поделиться
HTML-код
  • Опубликовано: 25 авг 2024

Комментарии • 23

  • @Electronicspedia
    @Electronicspedia  2 года назад

    Please Like, Share and Subscribe to my channel ruclips.net/channel/UC3mTACG8vPWsHQFMfxzeDZg

  • @vamosabv
    @vamosabv Год назад +2

    Thanks for this!

  • @Yemo_naniartsanddanc396
    @Yemo_naniartsanddanc396 5 месяцев назад

    Good explanation🎉

  • @Gary-kt8pd
    @Gary-kt8pd Год назад +1

    thanks, it's very clear and helpful

  • @jamiehuynguyen7259
    @jamiehuynguyen7259 Год назад

    very clear, thank you so much

  • @adityanarayanshukla9530
    @adityanarayanshukla9530 2 года назад

    Bhai Dhanyawaad

  • @HG-jl4ed
    @HG-jl4ed Год назад

    thank you so much sir understood the concept , can you please tell the difference in execution of the following i) #5 a=1; and #5 a

  • @vidhya2965
    @vidhya2965 2 года назад

    Thank you. Why blocking statement create race around condition?

  • @gokulp6878
    @gokulp6878 2 года назад +3

    this is the interview question
    what will happen if we use non blocking to generate the clock
    always clk=#5 ~clk
    vs
    always
    clk

    • @Electronicspedia
      @Electronicspedia  2 года назад

      Hi Gokul,
      This question is kind of incomplete.
      What is there in sensitivity list of always block? Is it *?
      Or if sensitivity list depends on any other event like clock then behavior will be different.
      I have simulated few scenarios in EDA playground. Please take a look.
      www.edaplayground.com/x/f9ft
      Regards,
      Ravi

    • @gauravrathi4278
      @gauravrathi4278 Год назад

      Non blocking one will make simulator hang

    • @vamosabv
      @vamosabv Год назад

      @@gauravrathi4278 why is that?

  • @ChiragHadiya
    @ChiragHadiya Год назад

    sir we can't say at 1st and 2nd clk cycle value of b and c is 0..... ! it can be undefined means either 0 or 1 .right ?

  • @mejaeuk1104
    @mejaeuk1104 3 месяца назад

    감사합니다. Thank you!

  • @susmithdas4312
    @susmithdas4312 2 года назад +3

    Sir what happens if we mix both of these statements in a block

    • @Electronicspedia
      @Electronicspedia  2 года назад

      We are not supposed to use blocking and non blocking within one always block. This will give error when running lint and subsequently in synthesis tools.

    • @gfsadds5574
      @gfsadds5574 Год назад

      If you do synthesis , mixing them will not compile. However, if you do it in simulation, like inside an initial block in test bench, there are two cases:
      1. a

  • @tarekamrani7155
    @tarekamrani7155 2 года назад +1

    System verilog please

  • @umavathimarichetty2050
    @umavathimarichetty2050 2 года назад

    always@(*)

  • @AmanKumar-ph4my
    @AmanKumar-ph4my Год назад

    Hi sir can i get ur email id