How to Create a 7 Segment Controller in Verilog? | Xilinx FPGA Programming Tutorials

Поделиться
HTML-код
  • Опубликовано: 7 сен 2024

Комментарии • 33

  • @SimplyEmbedded
    @SimplyEmbedded  6 лет назад +2

    Hi Everyone! Hope you enjoyed watching this tutorial! Let me know ways I can improve and deliver more effective messages/tutorials to you!

    • @sandeepanala9931
      @sandeepanala9931 4 года назад +1

      Can you please do implementation of real time clock in this xilinx vivado

    • @rvasusgamingyt
      @rvasusgamingyt 3 года назад

      Implementation of 8-bit accumulator using NEXYS-4 ARTIX-7 FPGA board and show the results using seven segment display. verilog code

    • @mrinaliyelma3935
      @mrinaliyelma3935 3 года назад

      Can u share with us the code... probably through git hub

  • @jamescarlton4068
    @jamescarlton4068 5 лет назад +6

    Good tutorial, i just got my digilent board with zinq-7000, and i learne a lot with your video. At 2:22 , 5 -> 0101 not 1001 -> 9.

    • @SimplyEmbedded
      @SimplyEmbedded  5 лет назад +1

      Hah you’re absolutely right, I didn’t even notice it myself. Thanks for pointing it out

  • @agustin143
    @agustin143 2 года назад

    HI BROTHER YOU SAVED MY UNIVERSITY PROYECT

  • @beigrajibulhasan6713
    @beigrajibulhasan6713 5 лет назад +3

    Hi, as always the videos are really great and to the point.
    Can you make a tutorial on how to demonstrate a moving text message using 4 digit seven segment display? It would be really helpful.

    • @SimplyEmbedded
      @SimplyEmbedded  5 лет назад

      Hello, thank you! I really appreciate the support! Would you be able to describe in little more detail what you mean?

    • @muhammadaaftabfiaz6699
      @muhammadaaftabfiaz6699 5 месяцев назад

      @@SimplyEmbedded what i understood is that he is saying to make tutorial of news ticker on 7 seg display. And I want the same tutorial.

  • @fakhraaftab5211
    @fakhraaftab5211 3 года назад

    Excellent work! I request you to resume posting new videos on fpga projects. Thanks.

  • @runrofl1806
    @runrofl1806 4 года назад

    you explain things so well dude!

  • @TheEarLyPeaK
    @TheEarLyPeaK 5 лет назад +2

    hi, i couldn't undestand how does refreshcounter turn back to 0 instead of going like 6,7,8.. ? thanks

    • @SimplyEmbedded
      @SimplyEmbedded  5 лет назад +1

      If I remember the code correctly, it is 2bits wide counter, which means it can count up to 3 (00,01,10,11) ... so that’s 4 values. Hope that helps !

    • @TheEarLyPeaK
      @TheEarLyPeaK 5 лет назад

      @@SimplyEmbedded oh ok, thank you !

  • @hierohuie
    @hierohuie 2 года назад

    how would you have the display show a string of numbers such as f152

  • @inamullahkhan5718
    @inamullahkhan5718 4 года назад

    hey.......I know it's late but i was just wondering if I could some how get the project file of this verilog....cause ive been following the exact same steps as mentioned in the tutorial but can't get the desired output......if i could somehow get one...i shall be really thankful

  • @stevenwang4747
    @stevenwang4747 3 года назад

    I have a question. How long does your simulation finish? It seems like it' will take forever to run the simulation for 20s. Thanks!

  • @opharoon7789
    @opharoon7789 2 года назад

    For latches concept can we use seven segment displays?

  • @sparshbadal3400
    @sparshbadal3400 5 лет назад

    hii how can i make a counter that display numbers from 1 to 99 in increasing order in spartan 3e

  • @mdaslamknl
    @mdaslamknl 5 лет назад +2

    Excellent
    keep it up

  • @ctbram0627
    @ctbram0627 Год назад

    Is there a reaspon my posts are being deleted? I was trying to help people and my posts get deleted? Why?

  • @jamessutcliffe5986
    @jamessutcliffe5986 2 года назад

    Hey there, love the tutorial, although im confused because your cathode numbers for digits is completely different to ones I found online:
    always @(bcd)
    begin
    case (bcd) //case statement
    0: seg = 7'b0000001;
    1 : seg = 7'b1001111;
    2 : seg = 7'b0010010;
    3 : seg = 7'b0000110;
    4 : seg = 7'b1001100;
    5 : seg = 7'b0100100;
    6 : seg = 7'b0100000;
    7 : seg = 7'b0001111;
    8 : seg = 7'b0000000;
    9 : seg = 7'b0000100;

    • @TomStorey96
      @TomStorey96 2 года назад

      The values are just the reverse of each other. In your example it looks like the bits are arranged abcdefg, whereas in the video they are arranged gfedcba.

    • @ctbram0627
      @ctbram0627 Год назад

      depends on your board. My is active low so (cathode) 1=off 0=on
      case (digit)
      4'd0:
      cathode = 8'b00000011; // zero
      4'd1:
      cathode = 8'b10011111; // one
      4'd2:
      cathode = 8'b00100101; // two
      4'd3:
      cathode = 8'b00001101; // three
      4'd4:
      cathode = 8'b10011001; // four
      4'd5:
      cathode = 8'b01001001; // five
      4'd6:
      cathode = 8'b01000001; // six
      4'd7:
      cathode = 8'b00011111; // seven
      4'd8:
      cathode = 8'b00000001; // eight
      4'd9:
      cathode = 8'b00001001; // nine
      endcase

  • @bestofbest8213
    @bestofbest8213 5 лет назад +1

    need code in text file

  • @susanvarghese2523
    @susanvarghese2523 Год назад

    please share the code

  • @spectrumgaming6722
    @spectrumgaming6722 4 года назад

    hi!! don´t work the link

  • @freemanfreed1581
    @freemanfreed1581 4 года назад

    Can you connect 16 segments using on arduino ??? ( to display Month Year and date in two calendar systems )

    • @FWDSKILLZONE
      @FWDSKILLZONE 4 года назад

      You can use two "8 digit 7-segment display modules" connected together with SPI interface and drive them with Arduino or Raspberry pi. Just watch out for current consumption of the "8 digit 7-segment display modules" when using it with Arduino.

  • @saiteja7400
    @saiteja7400 3 года назад

    Please send the codes of it

  • @premsinghbardaval2724
    @premsinghbardaval2724 3 года назад

    Please send me the code