Vivado Simulator and Test Bench in Verilog | Xilinx FPGA Programming Tutorials

Поделиться
HTML-код
  • Опубликовано: 12 сен 2024
  • Purchase your FPGA Development Board here: bit.ly/3TW2C1W
    Boards Compatible with the tools I use in my Tutorials:
    bit.ly/3B1oXm5
    Xilinx FPGA Programming Tutorials is a series of videos helping beginners to get started with Xilinx fpga programming.
    Are you interested in learning about how to use Xilinx Vivado Simulator? Do you also want to learn how to create a test bench in verilog HDL? Well, in this video show you the basics of how to use Vivado 2018.2 Simulator and Test bench in Verilog. Vivado simulator and test bench in verilog are highly important factors in successful FPGA programming. If you have any questions throughout this video, leave a comment in the comments section below! Throughout this tutorial you will also learn how to use RGB LED in Xilinx FPGA Programming and test an implementation about it on the board.
    My name is Greidi and I'm an Electrical Engineer, I'm here to help you get started with using Vivado Simulator and Creating a testbench in Verilog. Let me know if there are topics you would want me to elaborate on or projects you would really want to see a tutorial on! Although this video is intended for beginners, if you are already familiar this tutorial, don't hesitate to join a conversation on adding more ideas to the community on some tips on using the Vivado Simulator! Every Wednesday I'll post a new video on my RUclips channel - although, I'll try to post 1 additional video once a month!
    Subscribe for new tutorials, product reviews, and conceptual videos. Feel free to leave a comment for any questions you may have.

Комментарии • 39

  • @SimplyEmbedded
    @SimplyEmbedded  6 лет назад +12

    Hope you Enjoyed this Tutorial! Creating Simulations is highly important in FPGA programming - the fact that an engineer is spending about 70% of their time in creating simulations is there to emphasize the idea that most of your time should be spent on simulating your design. The actual time might vary based on the engineer and their skills. Thanks again! Leave a comment on what else would you like to learn in FPGA Programming! Also, let me know if you are interested in learning about minimizing logic circuits!

  • @israfiltasc9704
    @israfiltasc9704 3 года назад +2

    Thank you very much bro very good video, ı'm a electronic enginner and People need these kinds of videos to improve themselves. Thank you again, I'm a big follower

  • @davidrichard1744
    @davidrichard1744 5 лет назад +4

    I also think that minimization examples would be amazing!

  • @jackcoleman2295
    @jackcoleman2295 6 лет назад +6

    Minimization examples would be amazing!

    • @SimplyEmbedded
      @SimplyEmbedded  6 лет назад +1

      Hi Jack, I'll keep it in mind! Thanks for the feedback!

  • @prydin
    @prydin 6 месяцев назад

    Just what I needed! Thanks!

  • @user-weird
    @user-weird 2 года назад

    Great lecture, awesome demonstration. Thank you.

  • @KennethLafond007
    @KennethLafond007 5 лет назад +1

    Helpful intro to simulation! I've been looking for beginner intros to FPGAs and Vivado. Keep up the good work! Do you have a user group/forum as well for questions/ideas?

  • @mahdigoshtasebi598
    @mahdigoshtasebi598 4 года назад

    Thanks for short video but very helpful

  • @user-fq1up1qb4d
    @user-fq1up1qb4d 2 года назад

    Thanks so much for the tutorial! You told us that when writing the testbench, we should change the inputs to registers and outputs to wires. What do inouts map to?

  • @coding_vlsi_vietnam
    @coding_vlsi_vietnam 4 года назад

    Great tut's thanks! hope you make more tuts.

  • @freeelectron8261
    @freeelectron8261 5 лет назад +3

    k maps and logic minimization please! Great tut's thanks!

    • @adetoyesealbert2093
      @adetoyesealbert2093 3 года назад

      K maps and logic minimization! It's a great time to be alive

  • @davidrichard1744
    @davidrichard1744 5 лет назад +4

    I don't know if you are still active making these tutorials but if you are I just like to say that I would very much have liked the video more and it would have been a lot easier to follow if the visual tempo wasen't so very fast. I don't know maybe it's just me but the speed of the pop-ups and changes on the screen makes it really hard to follow along even when the things you do are very basic stuff that I know of already. I don't suggest slowing it down to real time but maybe by 25%, the video wouldn't have to be any longer or maybe a little(I know nothing about making videos) but it would be a lot easier to follow along.

    • @Osmanity
      @Osmanity 4 года назад +1

      i do definitely agree with you. it would be a lot better :cc

  • @AnimatoAudio
    @AnimatoAudio 3 года назад +2

    I believe there's an error with the Minimized Boolean Equations in the code. It should be:
    assign red = ~switch[3] & ~switch[2];
    assign blue = (~switch[3] & switch[2]) | (switch[3] & ~switch[2] & ~switch[1]);
    assign green = (switch[3] & ~switch[2] & switch[1]) | (switch[3] & switch[2]);

    • @addielvega
      @addielvega 2 месяца назад

      No that is incorrect, and his equations are also not the truly minimized. For anyone wondering about this try doing the K-maps to see

  • @SirioAstarot
    @SirioAstarot 2 года назад

    Hello, Vivado Can you perform 3D simulations like TINA does? To visualize the signal circuit in IC or FPGA development environments.

  • @selvapriya1380
    @selvapriya1380 Год назад

    im facing with this issue in vivado with my design"ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors." The messages read "[USF-XSim-62]" and "[Vivado 12-4473]" Any ideas on how to fix this would be awesome!

  • @derekcarson5550
    @derekcarson5550 3 года назад

    Can't you just enter your testbench inputs/outputs in the window you closed at 2:59? Or do you just prefer to copy and paste from your module?

  • @jackcoleman2295
    @jackcoleman2295 6 лет назад +2

    Hey there! While playing with the simulator I keep running into the same error message and for the life of me I cannot figure what is wrong. "ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors." The messages read "[USF-XSim-62]" and "[Vivado 12-4473]" Any ideas on how to fix this would be awesome! Thank you so much for the helpful videos too!

    • @SimplyEmbedded
      @SimplyEmbedded  6 лет назад

      Hi Jack, if possible double check and make sure you got everything absolutely correct in your testbench module (no extra commas etc.) then make sure you got everything correct in the top verilog module (all syntax correct). Check out the video from 5:31. If the problem persists, check out my facebook page (facebook.com/SimplyEmbedded) and message me there by sending your code for the verilog top module and your testbench file. I'm glad to hear the videos are helpful!

    • @SimplyEmbedded
      @SimplyEmbedded  6 лет назад +1

      Hey Jack, did you ever get the simulator running?

    • @jackcoleman2295
      @jackcoleman2295 6 лет назад +1

      @@SimplyEmbedded I did when I ran it on my laptop, and not my desktop. Everything was the same just a different machine. Any ideas why a certain PC would have issues?

    • @SimplyEmbedded
      @SimplyEmbedded  6 лет назад +1

      I'm glad it worked on your laptop at least, well I can't say for sure as long as I haven't seen the code you try to run on the PC. Although some research online implies that it is a mistake in the code (either the Top module or the simulation file). You can check the error message as it gives you a path to a file [USF-XSim 62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'path/something.log' file for more information. find and open this file and read what it will tell you or check the TCL console output for specific error messages in the files (it should tell a specific line in a verilog module). Try it out and see if it makes any difference - let me know if you are able to resolve it like that :)

    • @jackcoleman2295
      @jackcoleman2295 6 лет назад +1

      Will do! Thank you so much!

  • @jajajaj666
    @jajajaj666 2 года назад

    how can i add a clock to the simulation? i want to test this code
    `timescale 1ns / 1ps
    module module_blinkingLED(
    input clk,
    output reg led,
    output reg [31:0] counter = 0
    );


    always @ (posedge clk) begin

    if(counter == 49999999) begin
    led

  • @michaelsteadman7973
    @michaelsteadman7973 11 месяцев назад

    Grady you're very good but you need to drink less coffee before doing a demo with Vivado. You're going at warp speed and some of us can only go at sub-light speed. Trying to take notes, during your presentation, is an exercise in frustration to the extreme.

  • @DavidGameplaysMex
    @DavidGameplaysMex 3 года назад

    My vivado don't synthesis, it takes hours and nothing :c

  • @marshalstewart7776
    @marshalstewart7776 4 года назад +1

    Moved along very quickly, simpler example would be better.

  • @ainnadihah216
    @ainnadihah216 3 года назад

    didi you know how to make SISO 4bit

    • @ainnadihah216
      @ainnadihah216 3 года назад

      how to get the waveform of siso4bit?

  • @mustafaglnr8780
    @mustafaglnr8780 5 лет назад +2

    why did you struggle to keep episode shorter, no details and some critical point blows in the video,
    Please keep longer +2 min , you can lose anything, on the contrary, earn more audience and money.

    • @Osmanity
      @Osmanity 4 года назад

      really agree with you :CC

  • @sarah2lol
    @sarah2lol Год назад

    you give me d4epression