How to Simulate a VHDL/Verilog code on Xilinx Vivado 2019.2

Поделиться
HTML-код
  • Опубликовано: 11 сен 2024

Комментарии • 61

  • @alanroberts1463
    @alanroberts1463 3 года назад +2

    Thanks Vipin, just what I needed. Very helpful.

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @DiywithElectra
    @DiywithElectra 2 года назад +1

    Thanks you so much... Very detailed explanation

    • @VCodes
      @VCodes  2 года назад

      You are most welcome

  • @nandoperu100
    @nandoperu100 4 года назад +1

    Thanks for explain the simulation function.. Good Job!!

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @nigarsultana6060
    @nigarsultana6060 3 года назад +1

    Thank you. ..you explained every detail.

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @mamathakavati248
    @mamathakavati248 4 года назад +1

    Awesome for beginners, thank you for making this video

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @SAKTHITech
    @SAKTHITech 3 года назад +1

    Thank you so much!! Keep up the great work

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @sathissivaram4499
    @sathissivaram4499 3 года назад +2

    how to eradicate launching error while simulation. Please clarify it.Thankyou

  • @ashishanand9518
    @ashishanand9518 4 года назад +1

    that was very helpful vipin :)

  • @tanchienhao
    @tanchienhao 2 года назад +1

    worked for me, thanks!

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @TilankaDil
    @TilankaDil Год назад

    Thank You very Much..Great Explanation...

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @nunustone7133
    @nunustone7133 2 года назад

    Thx for this well prepared tutorial.

    • @VCodes
      @VCodes  2 года назад

      Glad it was helpful!

  • @gayathrivenkatesan3958
    @gayathrivenkatesan3958 3 года назад +1

    Very helpful..! Thanks :)

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @roshithbabu6845
    @roshithbabu6845 3 года назад +1

    Thanks from the heart

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you from the heart as well...

  • @felipeferreira1960
    @felipeferreira1960 8 месяцев назад

    Very helpful video

    • @VCodes
      @VCodes  6 месяцев назад

      Glad to hear that

  • @cristianlerro9570
    @cristianlerro9570 2 года назад

    thank you for ur work, it is very useful!

    • @VCodes
      @VCodes  2 года назад +1

      thanks. glad to hear that it was helpful..

  • @qwerty_____146
    @qwerty_____146 3 года назад +2

    Thank you Dear.

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @d7x2y
    @d7x2y 2 года назад +1

    Thank you Sir for making this good video. Since I have no physical board to test, do I need to code a workbench.vhd file as well in case of testing my own codes? THX

    • @VCodes
      @VCodes  6 месяцев назад

      yes, its always recommended to write a testbench code and test the code before finalizing it. Otherwise how will we know if the code is working. Just getting it compiled without errors isnt enough. Even if you have the fpga board, I would first verify with testbench. Debugging on board, normally is more time consuming.

  • @ashishmulajkar1986
    @ashishmulajkar1986 3 года назад

    Thank you very much...I can apply this logic for my research work

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @kabandajamir9844
    @kabandajamir9844 Год назад

    So nice thanks

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @farzadrazmavar8012
    @farzadrazmavar8012 4 года назад +1

    thank you so much

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @anubhavmondal6074
    @anubhavmondal6074 3 года назад

    Hey vipin i am using mac os but I need this software can you tell me any alternative of this software for macos ..

  • @suvendusahu6628
    @suvendusahu6628 3 года назад

    Nicely explained 👍👍

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @sokullumahmutpasa6651
    @sokullumahmutpasa6651 4 месяца назад

    thanks

  • @deathmaster4035
    @deathmaster4035 2 года назад

    How do you save the simulation results to a text file?

  • @roshithbabu6845
    @roshithbabu6845 3 года назад

    U r awesome

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @shivaramakrishna5078
    @shivaramakrishna5078 3 года назад

    It Supports mobile ?

  • @masmry2703
    @masmry2703 3 года назад

    Thanks Vipin

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!

  • @Az1kJ
    @Az1kJ 4 года назад

    Where is verilog code?

  • @pallavisingh7110
    @pallavisingh7110 3 года назад

    Test bench code is not working, it shows 9 errors

    • @VCodes
      @VCodes  3 года назад +1

      What errors are you getting? Dont try to synthesis the testbench. Testbench is only for simulation.

  • @mokadinesh2721
    @mokadinesh2721 2 года назад

    hi can i use report statement in VHDL? in vivado

    • @VCodes
      @VCodes  6 месяцев назад

      you can. I will write or publish something on this soon.

  • @danny_racho
    @danny_racho 6 месяцев назад

    Ehm why do you have the word "verilog" in the title, if it's just vhdl? This is absurd..

    • @VCodes
      @VCodes  6 месяцев назад +1

      Thats deliberately put there because the steps involved in simulating a verilog code is not much different than vhdl. Did you try following the steps mentioned in the video?

  • @thedude7134
    @thedude7134 Год назад

    I need help with VHDL assignments. I'm willing to pay for tutoring as well... kindly reach out to me if you are interested. Thank you

    • @VCodes
      @VCodes  6 месяцев назад

      I wasnt available for tutoring then. Now I have started doing so. Putting this out here for anyone who is interested. Thanks for the support.

  • @brajkishorrajput9238
    @brajkishorrajput9238 Год назад

    plz share any contact detail sir

    • @VCodes
      @VCodes  6 месяцев назад

      my email should be visible in the "about me" section or from my blog, vhdlguru.blogspot.com/

  • @almostabouteverything1112
    @almostabouteverything1112 Год назад

    Very helpful video

    • @VCodes
      @VCodes  6 месяцев назад

      Thank you!