Event Regions in Verilog and Race Condition

Поделиться
HTML-код
  • Опубликовано: 12 сен 2024

Комментарии • 7

  • @adityanukala857
    @adityanukala857 Месяц назад

    Awesome explanation.

  • @AnilPatil-un1gk
    @AnilPatil-un1gk Месяц назад

    Very good ❤

  • @user-wf1kt1pv2x
    @user-wf1kt1pv2x 5 месяцев назад

    Really very well explained. I'm a beginner, it improved my clarity a lot.

  • @prawinkumar6368
    @prawinkumar6368 11 месяцев назад +1

    good explanation

  • @bhuveshgautam2454
    @bhuveshgautam2454 11 месяцев назад +1

    Thank you for such a detailed explanation 💯

  • @shivamrai2197
    @shivamrai2197 6 месяцев назад

    Sorry but in simulator the value of $strobe is coming as 70,80,90 and the value in last display statement is 40,50,60, can you explain why