VERILOG LANGUAGE FEATURES (PART 3)

Поделиться
HTML-код
  • Опубликовано: 21 авг 2017

Комментарии • 9

  • @shashwattripathi5872
    @shashwattripathi5872 15 дней назад

    in last module "simple_latch", shouldn't 't' be of type 'reg' as it has been assigned inside 'always'?

  • @SrikarVarma-jw8hh
    @SrikarVarma-jw8hh 15 дней назад

    in 18:49, explicit association should be " ()", anyone can notice and reply please

  • @ranjanyadav9011
    @ranjanyadav9011 7 месяцев назад +8

    But sir how can at 26:48 the t be modelled as a latch as it is defined as a net data type and you said that net data types can only be modelled as wire??

    • @guhanrajasekar5993
      @guhanrajasekar5993 7 месяцев назад +1

      I think there is a mistake there. I think ' t ' should have been declared as a variable of type ' reg ' .

    • @DIVITSHARMA-nj9hn
      @DIVITSHARMA-nj9hn 2 месяца назад +4

      @@guhanrajasekar5993 yes i also feel the same , but it is interesting that no one noticed in 6 yrs!!😲😲

    • @sakshisingh4197
      @sakshisingh4197 18 дней назад

      Oo i noticed after reading this ...that should be reg.

    • @maradanitejaswi1201
      @maradanitejaswi1201 9 дней назад

      @@sakshisingh4197 Can you explain d_out can't be reg? As it is used on the left side ryt? I believe both t and d_out should be declared as reg.

  • @arghya.7098
    @arghya.7098 21 день назад

    3:53 isn't 1^x = x' (x complement)?