Hardware Modeling Using Verilog
Hardware Modeling Using Verilog
  • Видео 59
  • Просмотров 1 700 829
Lec 13
Lec 13
Просмотров: 1 736

Видео

Lec 16
Просмотров 1,2 тыс.6 лет назад
Lec 16
Lec 15
Просмотров 8456 лет назад
Lec 15
Lec 14
Просмотров 8706 лет назад
Lec 14
Lec 12
Просмотров 6046 лет назад
Lec 12
Lec 11
Просмотров 5506 лет назад
Lec 11
Lec 10
Просмотров 9436 лет назад
Lec 10
Lec 09
Просмотров 5816 лет назад
Lec 09
Lec 08
Просмотров 3616 лет назад
Lec 08
Lec 07
Просмотров 5456 лет назад
Lec 07
Lec 06
Просмотров 7316 лет назад
Lec 06
Lec 05
Просмотров 1,1 тыс.6 лет назад
Lec 05
Lec 04
Просмотров 7366 лет назад
Lec 04
Lec 03
Просмотров 9206 лет назад
Lec 03
Lec 02
Просмотров 1,3 тыс.6 лет назад
Lec 02
Lec 01
Просмотров 5 тыс.6 лет назад
Lec 01
mod08lec42
Просмотров 6 тыс.6 лет назад
mod08lec42
VERILOG MODELING OF THE PROCESSOR (PART 1)
Просмотров 18 тыс.6 лет назад
VERILOG MODELING OF THE PROCESSOR (PART 1)
VERILOG MODELING OF THE PROCESSOR (PART 1)
Просмотров 29 тыс.6 лет назад
VERILOG MODELING OF THE PROCESSOR (PART 1)
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 3)
Просмотров 14 тыс.6 лет назад
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 3)
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 2)
Просмотров 15 тыс.6 лет назад
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 2)
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 1)
Просмотров 20 тыс.6 лет назад
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 1)
SWITCH LEVEL MODELING (PART 1)
Просмотров 18 тыс.6 лет назад
SWITCH LEVEL MODELING (PART 1)
SWITCH LEVEL MODDELING (PART 2)
Просмотров 10 тыс.6 лет назад
SWITCH LEVEL MODDELING (PART 2)
PIPELINE MODELING (PART 2)
Просмотров 13 тыс.6 лет назад
PIPELINE MODELING (PART 2)
PIPELINE MODELING (PART 1)
Просмотров 18 тыс.6 лет назад
PIPELINE MODELING (PART 1)
BASIC PIPELINING CONCEPTS
Просмотров 20 тыс.6 лет назад
BASIC PIPELINING CONCEPTS
MODELING REGISTER BANKS
Просмотров 15 тыс.6 лет назад
MODELING REGISTER BANKS
MODELING MEMORY
Просмотров 32 тыс.6 лет назад
MODELING MEMORY
SOME RECOMMENDED PRACTICES
Просмотров 12 тыс.6 лет назад
SOME RECOMMENDED PRACTICES

Комментарии

  • @NPALEPUNITHINSANTOSHKUMAR
    @NPALEPUNITHINSANTOSHKUMAR 2 часа назад

    In IF why we use pc+1

  • @SrikarVarma-jw8hh
    @SrikarVarma-jw8hh 14 часов назад

    in 18:49, explicit association should be "<.> <ports_from_module>(<ports_from_testbench>)", anyone can notice and reply please

  • @arghya.7098
    @arghya.7098 23 часа назад

    15:07 can't we achieve the same functionality using blocking assignment?

  • @arghya.7098
    @arghya.7098 6 дней назад

    3:53 isn't 1^x = x' (x complement)?

  • @user-re7ge3uj1j
    @user-re7ge3uj1j 12 дней назад

    6:34 In non blocking condition what will be the result when time delays are different

  • @Awakened_Pot
    @Awakened_Pot 16 дней назад

    Thank you for this amazing series Sir❤

  • @user-re7ge3uj1j
    @user-re7ge3uj1j 17 дней назад

    9:26 count has to be intialised to zero right??

  • @saiteja3717
    @saiteja3717 17 дней назад

    in time 10:20 state diagram redundant states are there sir

  • @mdfaizan1887
    @mdfaizan1887 19 дней назад

    Thankyou sir !!!

  • @TharunMalla
    @TharunMalla 21 день назад

    where we can download the notes??

  • @sanketnaik387
    @sanketnaik387 23 дня назад

    I guess for the modified method, in last part you forgot to write next state =S1 and S2 in that 2nd always block

  • @tee-nw5vx
    @tee-nw5vx 24 дня назад

    where can i get this ppt

  • @srijandwivedi294
    @srijandwivedi294 27 дней назад

    17:00

  • @srijandwivedi294
    @srijandwivedi294 27 дней назад

    28:00

  • @nityamaheshwari8259
    @nityamaheshwari8259 Месяц назад

    in full adder circuit there is no 3 xor circuit present and some verilog code is not correct , please make new playlist

    • @yogeshyadav9023
      @yogeshyadav9023 Месяц назад

      Read last comment of this post , and try to understand, u will get your answer that ,this full adder ckt is also correct

  • @pawansharma6226
    @pawansharma6226 Месяц назад

    use delay #1 before display, soo that the input and output are settled before display. Now you will get correct Output.

  • @nikhilteja7018
    @nikhilteja7018 Месяц назад

    14:40 Why did we use mux16 to1 M' instead of 'mux16to1 DUT'

  • @prabhatsati4387
    @prabhatsati4387 Месяц назад

    why is there a latch at the last stage , we can directly transfer the output, is it the necessity of code or necessity of the question??

  • @avinasha237
    @avinasha237 Месяц назад

    Always is checking posedge or negedge of clock. But clock generation code is not written. clk1 =~clk1 clk2 = ~clk2

    • @arghya.7098
      @arghya.7098 23 часа назад

      ig the testbench program takes care of clk generation. correct me if i'm wrong.

    • @avinasha237
      @avinasha237 18 часов назад

      @@arghya.7098 it's right... Thank you

  • @avinasha237
    @avinasha237 Месяц назад

    Why need temporary variable for both a and b . A single temporary variable is enough to swap. a = 8 ; b =5; temp = a; a = b; b = temp; output: a = 5 ; b = 8

  • @phaneendrakumar658
    @phaneendrakumar658 Месяц назад

    Here I think we cannot instantiate any modules/UDP inside a UDP. But how did you instantiate TFF UDP inside the ripple_counter UDP at 26:32 ( which is a UDP)??

  • @Random.PCB.
    @Random.PCB. 3 месяца назад

    Very clear, thank you for your service 🫡

  • @nenavathharisingh3231
    @nenavathharisingh3231 3 месяца назад

    Isn't that decoder a dmux ??

    • @arghya.7098
      @arghya.7098 2 дня назад

      i guess he mistakenly told demux and decoder as same thing

  • @princessipsi9433
    @princessipsi9433 3 месяца назад

    It's Verilog or system Verilog

  • @loknathd860
    @loknathd860 5 месяцев назад

    31:50 at examles the parameter up=2'b00 and so on...i think it could be like this.

  • @peekaykarekar7865
    @peekaykarekar7865 5 месяцев назад

    In the MUX Module, why is the sel not 4 bits?

  • @shubhayansarkhel4363
    @shubhayansarkhel4363 5 месяцев назад

    in palnitkar it is written that multidimensional arrays are not permitted in verilog, integer matrix[7:0][15:0] this is an illelgal declaration in verilog. which one to follow sir's or book?

    • @mdfaizan1887
      @mdfaizan1887 29 дней назад

      they are not supported in verilog but in system verilog they can be used

  • @Mohamed_Shabaik
    @Mohamed_Shabaik 5 месяцев назад

    man this is so hard

  • @souhardhyapaul1158
    @souhardhyapaul1158 6 месяцев назад

    At 13:00, third line, S=1 is wrong, it should be S=0.

    • @arghya.7098
      @arghya.7098 4 дня назад

      yeah, i've been thinking the same

  • @dhrubajyotimandal
    @dhrubajyotimandal 6 месяцев назад

    why do we have built in primitives? while we can already implement things behaviorally like "assign x=a|b;" instead of "or (x,a,b);"? does those primitives serve some different purpose?

  • @sanjeevyadav-lw4ky
    @sanjeevyadav-lw4ky 6 месяцев назад

    at 20.34 , clr =1 is applied after 7 (2+5), not at the edge of clk

  • @Deepak_3860
    @Deepak_3860 6 месяцев назад

    *To download pdf notes* *1* Type hardware modelling using verilog indranil sengupta notes . *2* go to assignments (see at bottom) & download it as zip.

  • @ranjanyadav9011
    @ranjanyadav9011 7 месяцев назад

    But sir how can at 26:48 the t be modelled as a latch as it is defined as a net data type and you said that net data types can only be modelled as wire??

    • @guhanrajasekar5993
      @guhanrajasekar5993 6 месяцев назад

      I think there is a mistake there. I think ' t ' should have been declared as a variable of type ' reg ' .

    • @DIVITSHARMA-nj9hn
      @DIVITSHARMA-nj9hn Месяц назад

      @@guhanrajasekar5993 yes i also feel the same , but it is interesting that no one noticed in 6 yrs!!😲😲

    • @sakshisingh4197
      @sakshisingh4197 3 дня назад

      Oo i noticed after reading this ...that should be reg.

  • @dileepnaidu3850
    @dileepnaidu3850 7 месяцев назад

    What is the difference between a task and a module?

  • @shivajeetiwari3816
    @shivajeetiwari3816 7 месяцев назад

    what is difference between ex-6.(a) and ex-2 ?? Why synthesis tool will do optimization in different way ,basically in such cases, how many Flip-Flop should be generated ??

    • @yukthiprasad3383
      @yukthiprasad3383 3 месяца назад

      Might be because: In ex-2 : Might be q2 and q1 both defined as output. In ex-6a : only E is defined as output.

  • @nagoomalnagm5127
    @nagoomalnagm5127 7 месяцев назад

    we want the file source of this piplined please

  • @randomyt5358
    @randomyt5358 7 месяцев назад

    Best sleeping Medicine ever

  • @user-yr1qd7nr8v
    @user-yr1qd7nr8v 8 месяцев назад

    very good video, it really help my learning

  • @ericmaclean6254
    @ericmaclean6254 8 месяцев назад

    THANK YOU!!! love this course

  • @ericmaclean6254
    @ericmaclean6254 8 месяцев назад

    This guy is literally the goat. If your seeing this and you want to learn verilog you have to watch this whole series.

  • @ericmaclean6254
    @ericmaclean6254 8 месяцев назад

    This guy has taught me so much, so concise so wise. Thank you professor Sengupta!

  • @bidhanroy9295
    @bidhanroy9295 9 месяцев назад

    1 1 1 & 0 0 0 is not written

  • @user-iv7vi5nz3w
    @user-iv7vi5nz3w 9 месяцев назад

    In the last Moorey machine code, state has to be initialized to some state: reg [1:0] state=00;

  • @pavan_pelleti
    @pavan_pelleti 9 месяцев назад

    what is the use of stage-4, why cant we perform that memory write in stage-3 itself?

  • @adityamaheshwari4250
    @adityamaheshwari4250 10 месяцев назад

    This is why IITs are IITs!

  • @stalin792
    @stalin792 10 месяцев назад

    eg:4 of ram3 we do not declare any signal like read, why should we consider that "read_signal" in the ram_test,,why?????

    • @user-yw1ro9up8m
      @user-yw1ro9up8m 3 месяца назад

      I believe it's just a typo and it can be ignored. Earlier, prof said if write = 1, we write and if write = 0, we read.

  • @Locomotivesofindia939
    @Locomotivesofindia939 10 месяцев назад

    At 15:00 q1=a; #5 q2=q1; Is it possible making like this by introducing time delay at q2 assignment ?

  • @Sujayish
    @Sujayish 10 месяцев назад

    @harir3116 can you please send me the PPT

  • @sahelighosh4297
    @sahelighosh4297 10 месяцев назад

    In the last test bench of adder circuit what is the effect of myseed=15 ? Means what will be the effect of value 15 here?

  • @athuldas44
    @athuldas44 10 месяцев назад

    can anyone explain me the logic of parity

    • @arghya.7098
      @arghya.7098 4 дня назад

      even no. of ones in a number's binary representation => parity (in this lecture). [Note: no ones => 0 ones => 0 is an even number] apart from these, there are terms called even parity and odd parity. Even parity: Even numbers of 1s in the number's binary representation. Odd parity: Odd numbers of 1s in the number's binary representation.