AMBA - AXI Part 2 Read burst Operation with waveform

Поделиться
HTML-код
  • Опубликовано: 14 окт 2024
  • #axi #amba_axi #amba #vlsi #system_verilog #system-verilog #uvm #system-verilog-coding #vlsi_design_verification #verilog
    We are providing VLSI Front-End Design and Verification training (Verilog, System-Verilog, UVM, AMBA protocols) for fresher/professionals over weekends with job assurance, for more info can Whatsapp @ 9997615007
    Website- emicrobyte.com/
    This session will helpful to understand the Read burst operation in AXI protocol

Комментарии • 20

  • @emicrobyte
    @emicrobyte  2 года назад

    We are providing VLSI Front-End Design and Verification training (Verilog, System-Verilog, UVM, AMBA protocols) for fresher/professionals over weekends with job assurance, for more info can Whatsapp @ 9997615007

  • @shubhamsingh-me6hw
    @shubhamsingh-me6hw 4 года назад

    please make more videos sir.....specially assertion and functional coverage.....it's really helpfulll.......

  • @arunrajak4798
    @arunrajak4798 Год назад

    Can you explain why read data channel trnx start on neg edge and then pos edge? isn't it should be pos edge?

    • @KathanJain12
      @KathanJain12 8 месяцев назад

      Yeah.. I think that's a mistake

  • @lallu1122
    @lallu1122 3 года назад

    Excellent

  • @sunidhipurohit9824
    @sunidhipurohit9824 3 года назад

    As in the example shown that the burst type was increment and address was h0 and there were 4 transactions that means that for every 4byte transaction the address will increment. Please clear that point.

    • @emicrobyte
      @emicrobyte  3 года назад

      awlen means no of transfers per transaction
      awsize means no of byte transfers per transfer
      so total no of transactions will be awsize*awlen
      next addr will be increment by awsize

    • @prashanthiprashu4211
      @prashanthiprashu4211 Год назад

      Hii

  • @ganauvm270
    @ganauvm270 3 года назад

    hai can you explain about out 0f order transaction and unaligned transfers in axi?it bit confusing .thank you

    • @emicrobyte
      @emicrobyte  3 года назад

      sure, i will make a video on that

    • @ganauvm270
      @ganauvm270 3 года назад

      @@emicrobyte thank you sir

  • @maheshb3970
    @maheshb3970 3 года назад

    Plz send the code for axi

    • @emicrobyte
      @emicrobyte  3 года назад

      what code you need for axi...

    • @darshilldesai8993
      @darshilldesai8993 3 года назад

      @@emicrobyte how to start project

    • @emicrobyte
      @emicrobyte  3 года назад

      @@darshilldesai8993 which kind of project you asking about it is design or verification or vip devlopment ??

    • @aparnanair5827
      @aparnanair5827 3 года назад

      Any verilog code for communication using AXI?

    • @darshilldesai8993
      @darshilldesai8993 3 года назад

      @@emicrobyte how to contact you? My mobile number 9662325155