VHDL ile FPGA PROGRAMLAMA - Ders4: VHDL IEEE ve SYNOPSYS Package Tanımları ve İçerikleri

Поделиться
HTML-код
  • Опубликовано: 17 ноя 2024

Комментарии • 35

  • @caglayandokme
    @caglayandokme 3 года назад +10

    Yeri gelmişken söyleyeyim, videoların doğaçlama olması bizler için çok daha faydalı oluyor.
    Tecrübeli bir FPGA tasarım mühendisinin beklenmedik hatalarda izlediği adımları görüyoruz, daha değerli ne olabilir :)

  • @uccoskun
    @uccoskun 2 года назад

    bu tur dersler temeli olmayanlar icin cok iyi oluyor. Tesekurler.

  • @erkanozvatan6744
    @erkanozvatan6744 3 года назад +1

    Dersleri gercekten cok guzel hazirlamissin, eline emegine saglik. =) Pipeline, CDC, timing analysis, UART, ... Daha ne olsun tek kelime ile mukemmel, gercekten cok emek var :)

  • @blahblah-im5sk
    @blahblah-im5sk 3 года назад

    Merhaba, video için teşşekkür ederim, emeğine sağlık. 06:46 Binary sistemde bir sayının iki katı o sayının 1 bit fazlasına eşittir. **s0

  • @stark9397
    @stark9397 3 года назад

    Hocam derslerinize başladım gerçekten harika çok teşekkür ederiz umarım seriyi bırakmazsınız. FPGA yanında başka nelere çalışmamız gerek örneğin sinyal işleme vb. gibi alanlarla da fpga'yı birleştirirsek çok güzel olur.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад

      devam ediyorum seriye, derslerde ilerledikçe FPGA başka konularla nasıl birleşiyor göreceksiniz 👍

    • @stark9397
      @stark9397 3 года назад

      @@mehmetburakaykenar Harika haber. Merakla bekliyorum takipteyim sayın hocam

  • @grimward1448
    @grimward1448 9 месяцев назад

    Emeğinize sağlık hocam

  • @buraksarmusak1372
    @buraksarmusak1372 3 года назад

    emeğinize sağlık çok teşekkürler hocam güzel bir seri olmuş

  • @dogukankoc3079
    @dogukankoc3079 3 года назад

    hocam çok güzel anlatım çok güzel bir seri çok teşekkürler :)

  • @ilkerbaltac6412
    @ilkerbaltac6412 3 года назад

    Hocam emeğinize sağlık çok güzel bir seri olmuş teşekkürler :)

  • @marstangeliyorum6091
    @marstangeliyorum6091 Год назад

    Sentez kısmını yapınca "Parallel synthesis criteria is not met" uyarısı alıyorum, neden acaba?

  • @berke4456
    @berke4456 Год назад

    package ları açmak istediğimde can not locate target loader hatası alıyorum nasıl düzelteceğim

  • @mhmtzks
    @mhmtzks 2 года назад

    Hocam Merhaba ben use IEEE.NUMERIC_STD.ALL; eklemeye çalıştığımda eklenmiyor kırmızı renkte olmuyor ve bunun sonucu olarak da unsigned olarak kullandığım yerlerde hata alıyorum.Ekleme işlemini nasıl yaparım ve neden ekleyemiyorum?

  • @makaladzeerti7865
    @makaladzeerti7865 Год назад

    Hocam unsigned sayıyla da integer karşılaştırmak tuhaf değil mi? unsigned sayıyı da to_integer( ) ile çevirmemiz daha mantıklı değil mi?

    • @mehmetburakaykenar
      @mehmetburakaykenar  11 месяцев назад +1

      hangi dakikada dediğiniz kısım uzun zaman oldu hatırlıyamiyorum, eğer integer sayının hep pozitif olduğundan eminsek sıkıntı olmaz

  • @caglayandokme
    @caglayandokme 3 года назад

    VHDL'e C++'daki Operator Overloading gelse ne kadar güzel olurmuş..
    Yoksa var da ben mi bilmiyorum :)

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +2

      aslında var. ben hiç kullanmadım ama var. daha doğrusu std_logic_arith ve std_logic_unsigned package dosyaları incelendiğinde aslında +,- gibi operatorler için std_logic_vector tipleri için de kullanılabilmesi için overloading yapılmış. yani hali hazırda bu package'ları kullanınca overloading yapmış oluyoruz. kendimiz bir operatöre overload yapmak istersek de kendimiz bir package tanımlayıp yapabiliriz. linkteki sunumda güzel özetlenmiş:
      www.slideshare.net/bestwinpaul1/operating-overloading-in-vhdl

    • @caglayandokme
      @caglayandokme 3 года назад

      @@mehmetburakaykenar Aydınlanmış oldum, teşekkürler

  • @serdarkaandemiroglu9705
    @serdarkaandemiroglu9705 3 года назад

    hocam merhaba öncelikle emeğinize sağlık bir sorum olacak numeric std yi nasıl ekleyeceğim?

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      merhaba
      library IEEE;
      use IEEE.numeric_std.all;
      işte böyle

  • @mahmutefil5265
    @mahmutefil5265 3 года назад

    Merhaba hocam, FPGA üzerinde fixed_pkg veya float_pkg kütüphanelerini kullanmam gerekiyor fakat IEEE veya IEEE_proposed kütüphanelerinden çekemiyorum bir türlü, sürekli "library iee is mapped to error for fixed_pkg" ve "fixed_pkg is not compiled in library ieee" sorunlarıyla karşı karşıya kalıyorum. Forumlarda çeşitli çözüm yollarından bahsedilmiş, kütüphaneyi elle eklemek, proje içine koymak vs ama hiçbiri işe yaramadı. Acaba bu konu üzerine bilginiz var mıdır? Teşekkürler şimdiden

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад

      merhaba,
      dosyayı vhdl-2008 olarak ayarladınız mı? Ben o şekilde ayarlayıp sentezleyip kullanmıştım. aşağıda kullandığım bir örnek modülü kopyalıyorum:
      library IEEE;
      use IEEE.STD_LOGIC_1164.ALL;
      use IEEE.FIXED_PKG.ALL;
      -- I named the module fxd_const_div, but actually it multiplies div_i with div_const, be careful !!!
      entity fxd_const_div is
      generic (
      div_const : real := 0.1 -- could be 0.1 to 0.0000001
      );
      Port (
      div_i : in STD_LOGIC_VECTOR (3 downto 0);
      div_o : out STD_LOGIC_VECTOR (27 downto 0)
      );
      end fxd_const_div;
      architecture Behavioral of fxd_const_div is
      signal div_const_fxd : UFIXED (-1 downto -28) := (others => '0');
      signal div_i_fxd : UFIXED (3 downto 0) := (others => '0');
      signal div : UFIXED (3 downto -28) := (others => '0');
      begin
      div_i_fxd

    • @mahmutefil5265
      @mahmutefil5265 3 года назад

      @@mehmetburakaykenar hocam öncelikle cevap için teşekkürler, dosyayı vhdl-2008 olarak ayarladınız mı derken tam olarak neyi kastediyorsunuz acaba? C:\Xilinx\Vivado\2019.1\data uzantısındaki vhdl ismindeki klasörden bahsediyorsanız denedim fakat yine de çalışmıyor, biraz daha detay verebilir misiniz adlandırma konusunda lütfen, teşekkürler.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      @@mahmutefil5265 vivadoda vhdl dosyasina tıklayınca source file properties penceresinde type kısmında VHDL yazıyorsa onu VHDL 2008 olarak değiştirmeniz lazım

    • @mahmutefil5265
      @mahmutefil5265 3 года назад

      @@mehmetburakaykenar maalesef yine aynı hatayı alıyorum hocam, acaba vivado nun sürümünden kaynaklı olabilir mi bu sıkıntı? Sizin kullandığınız sürüm neydi acaba bu kütüphanede işlem yaparken?

  • @irethoronar34
    @irethoronar34 3 года назад

    Hocam merhaba, sabahtan beri bir konu üzerinde düşünüyorum ve araştırıyorum ama bir türlü bulamadım. Yardımcı olursanız sevinirim.
    16 bitlik bir input var bu input rastgele olacak. Eğer Most Significant Bit 1 ise farklı bir işlem 0 ise farklı bir işlem yapacağım. Ama bir türlü bunu kodda tanımlatamadım. Yani elde etmek istediğim kod kısaca şöyle:
    if MSB

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      merhaba input(15) yazın olur 😊

    • @irethoronar34
      @irethoronar34 3 года назад

      @@mehmetburakaykenar Yazıklar olsun ya bu kadar kolay olmamalıydı :D. Sağolun hocam cansınız

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      kolay gelsin 😊

  • @muhammedendesutku2714
    @muhammedendesutku2714 Год назад

    ekrandaki yazılar çok korkutucu

  • @thomass8871
    @thomass8871 8 месяцев назад

    HOCAM TEMELDEN ANLATMIYORSUNUZ YA LÜTFEN ÖNCE ÖĞRETİCEM DİYE UMUT VERİYORSUNUZ SONRA DA SANKİ BİLEN BİRİNE ANLATIYORMUŞ GİBİ ANLATARAK ÜMİDİMİZİ KIRIYORSUNUZ

    • @mehmetburakaykenar
      @mehmetburakaykenar  8 месяцев назад

      Temel konular için yine RUclips kanalimda "Mantıksal Devre Tasarımı - Logic Design" isimli bir video oynatma listesi var, TOBB ETÜde verdiğim dersin kayıtları, önce onu izlemeniz faydalı olabilir

    • @thomass8871
      @thomass8871 8 месяцев назад

      @@mehmetburakaykenar hocam onu geçtim onlar basit konular ama syntax ı bile açıklamıyorsunuz ki kod yazarken ilerleyen videolarda. direkt şunu şöyle yaptık bunu böyle yaptık deyip geçiyorsunuz lütfen hocam ya ne anlamı kaldı böyle olacaksa? zaten okulda da düzgün anlatamıyor hocalar şu dersi. cidden kafayı yiyeceğim