Mehmet Burak Aykenar
Mehmet Burak Aykenar
  • Видео 261
  • Просмотров 1 195 960

Видео

MÜHENDİSLİK AHLAK VE FELSEFE - BÖLÜM 1
Просмотров 7583 месяца назад
MÜHENDİSLİK AHLAK VE FELSEFE - BÖLÜM 1
Susuz arazide sebze yetiştirme argesi - Ekimden 1 ay sonrası
Просмотров 7143 месяца назад
Domates biber salatalık çilek ekimi Damacana 5 lt pet şişe ile damlama sulama ar-ge çalışmaları Susuz arazide sebze yetiştirme
Susuz arazide sebze yetiştirme argesi - Ekimden 23 gün sonrası
Просмотров 2304 месяца назад
Domates biber salatalık çilek ekimi Damacana 5 lt pet şişe ile damlama sulama ar-ge çalışmaları Susuz arazide sebze yetiştirme
Susuz arazide sebze yetiştirme argesi - Ekimden 1 hafta sonrası
Просмотров 5824 месяца назад
Domates biber salatalık çilek ekimi Damacana 5 lt pet şişe ile damlama sulama ar-ge çalışmaları Susuz arazide sebze yetiştirme
Damacana ile Damlama Sulama - İlk Ekim - Domates | Biber | Salatalık | Çilek
Просмотров 1,2 тыс.4 месяца назад
Damacana ile Damlama Sulama - İlk Ekim - Domates | Biber | Salatalık | Çilek
Hobi Bahçesi veya Bahçe Almak İsteyenler İçin Uyarılar
Просмотров 5215 месяцев назад
Bu videoda kısaca bir bahçe ile ilgilenilmezse ne tür sorunlarla karşılaşılacağından bahsettim. - Sürekli ve her yıl büyüyen yabani ot problemi - Ağaçlara ve fidelere musallat olan zararlılar - Zirai don Bahçe almayı planlayanların bahçe ile uğraşmaları gerektiğini hatırlatmak amacıyla
ODTÜ Elektrik-Elektronik Mühendisliği Seminer: Sayısal Çip Tasarımı Son Durum - Mehmet Burak Aykenar
Просмотров 3,1 тыс.6 месяцев назад
ODTÜ Elektrik-Elektronik Mühendisliği Seminer? Sayısal Çip Tasarımı Son Durum - Mehmet Burak Aykenar 17 Nisan 2024 ODTÜ Elektrik-Elektronik Mühendisliği Bitirme Dersi Seminerlerinden
ÇİP TASARIMI - Ders 16: Caravel Örnek Uygulama - I2C_MASTER
Просмотров 8557 месяцев назад
Bu derste Efabless firmasının, ucuz ve hızlı bir şekilde açık-kaynak yazılım araçları ve tasarımları kullanarak çip tasarlayıp ürettirmemizi sağlayan Caravel'e kendi tasarımımız olan i2c_master_top modülünü nasıl eklediğimizi gösterdik. Linkler: Efabless Playlist: ruclips.net/p/PLZuGFJzpFksB83NFHbO_U5Uw3ufjbJ7ws Caravel User Project Repo: github.com/efabless/caravel_user_project Github SSH: doc...
ÇİP TASARIMI - Ders 15: User Project Wrapper - Caravel Setup
Просмотров 6207 месяцев назад
Bu derste Efabless firmasının, ucuz ve hızlı bir şekilde açık-kaynak yazılım araçları ve tasarımları kullanarak çip tasarlayıp ürettirmemizi sağlayan Caravel framework'ün kurulumu ve kendi tasarımımızı ekleyeceğimiz caravel user project github repodan bahsettik. Linkler: Efabless Playlist: ruclips.net/p/PLZuGFJzpFksB83NFHbO_U5Uw3ufjbJ7ws Caravel User Project Repo: github.com/efabless/caravel_us...
ÇİP TASARIMI - Ders 14: Efabless Caravel Framework - 10000 Dolara Çip Üretimi
Просмотров 2,3 тыс.7 месяцев назад
Bu derste Efabless firmasının, ucuz ve hızlı bir şekilde açık-kaynak yazılım araçları ve tasarımları kullanarak çip tasarlayıp ürettirmemizi sağlayan Caravel framework'ten bahsettik. #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 13: GDS - KLAYOUT
Просмотров 6987 месяцев назад
Bu derste i2c master top modülü için baştan sona Openlane flow gerçekleştirilerek fabrikaya gönderilebilecek GDS dosyaları üretilmiş ve sonrasında GDS layout üzerinde KLAYOUT programı ile analiz yapılmıştır. #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 12: Sign-Off
Просмотров 5267 месяцев назад
Çip tasarım aşamalarında Place & Route sonrasında artık devre ile ilgili implementasyon aşamaları tamamlanmıştır. Çipin üretim sonrasında doğru çalışmasından emin olmak için daha önce functional verification ve timing verification yapılmıştı, sign-off aşamasında da physical verification yapılır. #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 11: Routing
Просмотров 1,2 тыс.9 месяцев назад
Openlane flow'da CTS sonrasında routing aşaması gerçekleşir. Routing aşamasında bütün logic cell'ler arasındaki bağlantılar (nets) gerçekleştirilir. Openlane uygulama #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 10: Clock Tree Synthesis (CTS)
Просмотров 79611 месяцев назад
ÇİP TASARIMI - Ders 10: Clock Tree Synthesis (CTS)
Ankara Kızılcahamam Tahtalar Kanyon Doğa Gezisi | Hiking | Trekking
Просмотров 1 тыс.11 месяцев назад
Ankara Kızılcahamam Tahtalar Kanyon Doğa Gezisi | Hiking | Trekking
ÇİP TASARIMI - Ders 9: Placement
Просмотров 90811 месяцев назад
ÇİP TASARIMI - Ders 9: Placement
ÇİP TASARIMI - Ders 8: Floorplan
Просмотров 86211 месяцев назад
ÇİP TASARIMI - Ders 8: Floorplan
ÇİP TASARIMI - Ders 7: Static Timing Analysis | Synopsys Design Constraints | Standard Delay Format
Просмотров 795Год назад
ÇİP TASARIMI - Ders 7: Static Timing Analysis | Synopsys Design Constraints | Standard Delay Format
ÇİP TASARIMI - Ders 6: Synthesis - Netlist - Flatten - Openlane Uygulaması
Просмотров 969Год назад
ÇİP TASARIMI - Ders 6: Synthesis - Netlist - Flatten - Openlane Uygulaması
ÇİP TASARIMI - Ders 5: Çip Tasarım Aşamaları Özet - Linter | Linting - Openlane Uygulaması
Просмотров 1,5 тыс.Год назад
ÇİP TASARIMI - Ders 5: Çip Tasarım Aşamaları Özet - Linter | Linting - Openlane Uygulaması
ZYNQ SoC HW/SW TASARIMI Ders17: Vivado'da Custom AXI-Stream IP Oluşturma Tutorial
Просмотров 1,1 тыс.Год назад
ZYNQ SoC HW/SW TASARIMI Ders17: Vivado'da Custom AXI-Stream IP Oluşturma Tutorial
ZYNQ SoC HW/SW TASARIMI Ders16: AXI-Stream Nedir? AXI-Stream FIFO ve ILA ile Örnek Uygulama
Просмотров 1,3 тыс.Год назад
ZYNQ SoC HW/SW TASARIMI Ders16: AXI-Stream Nedir? AXI-Stream FIFO ve ILA ile Örnek Uygulama
ZYNQ SoC HW/SW TASARIMI Ders15: DDR3 DRAM | OCM | BRAM - AXI CDMA Data Transfer Performansı
Просмотров 1,2 тыс.Год назад
ZYNQ SoC HW/SW TASARIMI Ders15: DDR3 DRAM | OCM | BRAM - AXI CDMA Data Transfer Performansı
Cuma Hutbesinde okunan duaların Arapça ve Türkçe anlamları, Müezzin ve İmam ne diyor? Kimse bilmiyor
Просмотров 7 тыс.Год назад
Cuma Hutbesinde okunan duaların Arapça ve Türkçe anlamları, Müezzin ve İmam ne diyor? Kimse bilmiyor
Kızılcahamam Kurumcu Yaylası ve Göletleri - Doğa Gezisi - Hiking Gezi Rehberi Video Kesitler
Просмотров 2,4 тыс.Год назад
Kızılcahamam Kurumcu Yaylası ve Göletleri - Doğa Gezisi - Hiking Gezi Rehberi Video Kesitler
Bilgisayar Mimarisi (Computer Architecture) Ders26: Önbellek Örnekleri | MCU | MPU | SoC
Просмотров 2 тыс.Год назад
Bilgisayar Mimarisi (Computer Architecture) Ders26: Önbellek Örnekleri | MCU | MPU | SoC
Bilgisayar Mimarisi (Computer Architecture) Ders25: Önbellekler | Direct-Mapped Cache
Просмотров 2 тыс.Год назад
Bilgisayar Mimarisi (Computer Architecture) Ders25: Önbellekler | Direct-Mapped Cache
Bilgisayar Mimarisi (Computer Architecture) Ders24: Memory Types - Memory Hierarchy in Computers
Просмотров 2 тыс.Год назад
Bilgisayar Mimarisi (Computer Architecture) Ders24: Memory Types - Memory Hierarchy in Computers
Gerçekçi ve diyetsiz Plank Challenge - 40 gün Plank yaptım - Kilo verebildim mi?
Просмотров 3 тыс.Год назад
Gerçekçi ve diyetsiz Plank Challenge - 40 gün Plank yaptım - Kilo verebildim mi?

Комментарии

  • @mertyurddas5065
    @mertyurddas5065 2 дня назад

    Hocam önce ne olduğunu anlatsanız direk olaya girmişsiniz

  • @mavera2606
    @mavera2606 2 дня назад

    Güvenlik görevlisi olarak nasıl girilir?

  • @mfs34550
    @mfs34550 3 дня назад

    Hocam ben Elektrik Elektronik mühendisliği birinci sınıf öğrencisiyim çipler entegre devreler mikro işlemciler hakkında çok az bilgiye sahibim bu alanı tanıyıp bilgimi ilerletip devamında eğer ilgimi çekerse bu alanda çalışmak istiyorum sizce nereden başlamalıyım hangi kaynakları önerirsiniz

  • @elfi2585
    @elfi2585 3 дня назад

    merhaba hocam ben bandpass filterı VHDL de implement etmeye çalışıyorum, ama kodda fixed point i nasıl belirtiriz anlayamadım, type coef_type is array(0 to 3) of signed(11 downto 0); constant num_coeffs : coef_type := ( to_signed(6765, 12), -- 6.765 to_signed(-13530, 12), -- -13.53 to_signed(6765, 12), -- 6.765 to_signed(0, 12) -- 0 ); type den_coef_type is array(0 to 4) of signed(11 downto 0); constant den_coeffs : den_coef_type := ( to_signed(1, 12), -- 1 to_signed(-3711, 12), -- -3.711 to_signed(5209, 12), -- 5.209 to_signed(-3278, 12), -- -3.278 to_signed(7812, 12) -- 0.7812 ); sonra da bandpass_out <= std_logic_vector(y(25 downto 12)); böyle yapıp baştan 12 biti alıyorum. Böyle doğru mu oluyor?

  • @ufuk8311
    @ufuk8311 9 дней назад

    Süper

  • @ufuk8311
    @ufuk8311 9 дней назад

    Adamsın

  • @Meryem-u1g2r
    @Meryem-u1g2r 13 дней назад

    Hocam biz bu kitabın arm editionını derste işliyoruz. Konu anlatım için çok fazla yere baktım ama ARM edition olan bir kaynak bulamadım. Sizin videolarınızı izleyerek de genel bir fikre sahip olabilir miyim ya da bana yardımcı olabilecek bir kaynak biliyor musunuz? Şimdilik ilk üç chapterı öğrenmem gerekiyor ve bizim ders kıtabına göre bunları işledik: Chapter 1. Computer Abstractions and Technology Chapter 2. Instructions: Language of the Computer Chapter 3. Arithmetic for Computers

  • @koraybzkrt
    @koraybzkrt 15 дней назад

    Hocam merhabalar. ADV7162KS220 0602 numaralı bir FBGA okumak istiyorum. Bu konu bilmiyorum ve anlamaya çalışıyorum. Bu FBGA nasıl hangi cihazla okuyabiliriz. Ayrıca düzenleyip tekrar içine atmak istiyorum bunun. Bana bu konuda destek olur musun lütfen

  • @serkaneyupoglu.......
    @serkaneyupoglu....... 18 дней назад

    Hocam bilgisayar mimarisindeki 8 büyük fikiri göremedim videolarınızda kısaca açıklar mısınız

  • @mspnisan1055
    @mspnisan1055 21 день назад

    abi 2024 için tekrar çeker misin

  • @o.s.8068
    @o.s.8068 22 дня назад

    tıp doktorlarına talep hiç bitmez, hatta emeklilik yaşları daha uzun. Mühendislikte her branş talep görmüyor. Teknolojinin ve ekonominin en çok etkilediği alan mühendislik diye düşünüyorum. Geçmişe dönsem doktor olmayı isterdim

  • @funkyy1772
    @funkyy1772 28 дней назад

    Türk Alman Üniversitesi Elektrik Elektronik Mühendisliği savunma sanayiide önü açık bir üniversite midir?

  • @timecomments
    @timecomments 28 дней назад

    Hocam fpga'nın portlarının kullanımı ile ilgili videonuz varmı. 1.5v 3v kapılar

  • @timecomments
    @timecomments 28 дней назад

    Videoda yorum ne kadar az, herkes vhdl yazabiliyormuymuş ne kadar geri kalmışım.

  • @Brimstonecuk
    @Brimstonecuk 29 дней назад

    Hocam bir sorum var ben de elekteik elektronik mühendisiyim. Ama daha önce bu çip tasarımıyla hiç ilgilenmedim. Yaptıklarınızı her şekliyle defalarca izlememe rağmen nedense terminal de yaptıklarınızı ben yazınca hata veriyor ne indirme yapıyor ne compile ediyor zaman olarak söylemem gerekirse 17.50 den sonrası için yazdığım hiçbir şeyi kabul etmiyor amannn deyip geçmekte istemiyorum bu yüzden size sormak istedim bu terminal kısmı sanırım komut istemi dediğimiz alan değil. Terminal ise birebir sizin 6c ye girmem gerekli sanıyorum çünkü ben yeni olanı 9c ye göre yaptım ama kabul etmedi 9c de farklı şeyler yazıyordu.

    • @mehmetburakaykenar
      @mehmetburakaykenar 3 дня назад

      openlane githubdan son sürümünü indirip kullanmayı deneyin bu eski artık, hatta openlane 2 var

  • @ahmetakdag8119
    @ahmetakdag8119 Месяц назад

    Hocam çip tasarımı hangi bölümün alanına giriyor. EE 'mi Bilgisayar mı ?

  • @veyselaras5249
    @veyselaras5249 Месяц назад

    hocam localparam'ı c dilindeki const gibi algıladım doğru mudur?

  • @Europeanhabibi
    @Europeanhabibi Месяц назад

    Merhaba! Ben birinci tıp yilimi bitirdim ama pek keyif alamadim. En zor derslerimi geçtim ve 2 sinifa gecme şartlarını da tuttum. Ben geçen yıl Tıp seçtiğim zaman benim iyi bir hayatımın olacağını düşündüm ama meyerse ben sadece ailemin düşüncülerini duyuyordum. Ben ilk okuldan beri Inşaat mühendisliği okumak istedim, ama ailem hep bana "seni doktor gibi görüyoruz", "doktor gibi iyi para gazanıcağina bile bile kendini zor etraflara koyma", "doktorlarin saygisi var", "inşaat muhendisi olursan sen tuza bogucaksin, doktor olursan hep temiz kalicaksin" diyorlardi. Bu seyleri bana 4 yil boyunca soyledi ve beni muhendislikten uzaklastirmak istediler. Ve bacarabildiler. Muhendislige olan sevgimi ve vizyonumu bastilar. Ve geriye hic bir sey kalmadi, oylece hedeflerine ulastilar. Ben ilk yilimi tip okudum, ama kendi tercihimi yapmadigim icin cok mutsuzdum ve hala oyleyim. Butun yilim agresif gecti, insanlarla konusmak istemiyordum, kotu yollar aldim, hep arkadaslarimla kustum, ve yalniz kaldim. (Bazen tipi sevmedigimi yalniz kaldigiim icin dusunuyorum cunku yeni yere gidip yeni bir hayat baslarsam baska arkadaslarim da olur) Sinava girmeden once her zaman gendimi motive etmek icin kendime "sinavdan duşsen hic merak etme, gelecek yil mühendisliğe giderim ve problem çözünür" bunu soylerdim. Bu gunler cok onemli bir karar alicam. Ve muhendislige gecmek istiyorum. Ama hala kesin degilim a sadece 2 haftam kaldi. (Türkçem iyi olmadiği için aredersiniz çünkû sadece yarı Turküm)

    • @lalalalala6896
      @lalalalala6896 Месяц назад

      Merhabalar ben de Endüstri Mühendisliği 2. sınıfa gectim Yıldız Teknikte isteyerek secsem de dön zamanlarda bana uygun olmadığını düşünmeye başladım tıpa geçmeyi düşünmeye başladım

    • @bilgehanylmaz458
      @bilgehanylmaz458 Месяц назад

      Ben yüksek inşaat mühendisiyim. Senin gibi inşaat mühendisliği, fizik sevgisi çocukluğundan beri vardı. Belki bu alanda türkiye deki en önemli isimlerden birisi olacağım yakın zamanda. Ancak gerekli saygıyı görmüyorum. Çok zor ve karışık bir bölüm olmakla beraber hakkını vererek çalışırsan tıptan daha detaylı ve zor bir bölüm olduğunu her yer söylerim. (bkz. fiziğin ve inşaat mühendisliğinin bilim tarihindeki muhteşem önemi) Ancak bu kadar emeğime rağmen Türkiye de insanların doktorlara daha fazla saygı duyulduğunu söyleyebilirim. Buda insanın verdiği emekler karşısında gördüğü değer sonucu moral bozukluğuna sebep oluyor ancak Dünyada ve türkiye de inşaat mühendisliği yetkinliğine sahip çok fazla insan olduğunu veya olacağını düşünemiyorum. Bu bağlamda aslında çok daha değerli olmakla beraber fizik yeteneği herkesin kavrayabileceği bir şey değildir. Ama maaş olarak maalesef çok düşük maaşlar alan arkadaşlarım mevcut. Fikirlerim bu şekildedir. Ben yıllarca insanlara yetenek ve istekleri doğrultusunda hareket etmelerini söyledim ama bu ülke beni hep yanlış çıkardı. Saygılar..

  • @bekaltun
    @bekaltun Месяц назад

    Geçmiş olsun hocam. Spor yapın, sigarayı bırakın, sağlıklı beslenin.

  • @YagmurCanalp
    @YagmurCanalp Месяц назад

    Verilogla birşeyi yapmak aşırı basitten VHDL ile kendimizi neden yıpratıyoruz. Ben hep verilogla çalıştım, oradaki 50 satır verlilogla 10 satırla yazabilirim...

    • @YagmurCanalp
      @YagmurCanalp Месяц назад

      //--------FIVE_BIT_CARRYADDER-------***************** module five_bit_carryadder(x,y,s,Cin,Cout); input[4:0]x; input[4:0]y; input Cin; output[4:0]s; output Cout; wire f0,f1,f2,f3; fulladder U0(x[0],y[0],Cin,s[0],f0); fulladder U1(x[1],y[1],f0, s[1],f1); fulladder U2(x[2],y[2],f1, s[2],f2); fulladder U3(x[3],y[3],f2, s[3],f3); fulladder U4(x[4],y[4],f3, s[4],Cout); endmodule //-----FULL_ADDER-------***************************** module fulladder(xi,yi,ci,Sum,Cout); input xi, yi, ci; output Sum,Cout; assign Sum= xi^yi^ci; assign Cout= xi&yi | xi&ci | yi&ci; endmodule

  • @kemalince4459
    @kemalince4459 Месяц назад

    Ktü nün avantajı varmıdır

  • @hakanozbek3021
    @hakanozbek3021 Месяц назад

    merhaba hocam egitim için çok teşekkür ederim .devamını diliyorum.elimde bir zedboard var.kutudan kısa usb micro kablosu cıktı ama bilgisayara direkt baglayamadım . bunun için uzun usb micro kablo mu kullandınız bilgisayara takmak için ? nasıl bir kablo kullanmalıyım ?teşekkürler

  • @supremedestini1789
    @supremedestini1789 Месяц назад

    Hocam merhaba. FPGA kullanılarak kripto madenciliği yapılabiliyor. Bir kripto para için FPGA madenciliği yazılımı yazabilir misin. Eğer yazabilirsen bunun için sana iyi bir miktar para verebiliriz. Yapabileceksen ve ilgileniyorsan lütfen bana dönüş yapın. 😊

  • @arf4897
    @arf4897 2 месяца назад

    Ben bundan önceki videodaki hatayı almaya devam ediyorum . ip yi yeniden düzenledim ve projedeki custom ipyi de yeni versiyonla değiştirdim . ama hala bit stream generatonda hataları almaya devam ediyorum . Nasıl çözebilirim acaba

  • @muratkirac2312
    @muratkirac2312 2 месяца назад

    Bilgisayar mühendisliği nasil

  • @ardacure
    @ardacure 2 месяца назад

    Msü mezunu olsak girmemiz kolaylaşırmı

    • @Skinnyjeansgoktug
      @Skinnyjeansgoktug 28 дней назад

      Msü mezunu olarak özel şirketlerde çalışamazsın kanka

  • @ahmetanadol5289
    @ahmetanadol5289 2 месяца назад

    Harika bir başlangıç . Mehmet bey teşekkür ederim çok sıcak bir video olmuş

  • @enesaytekin5827
    @enesaytekin5827 2 месяца назад

    Benim üstadım derdi ki Müslümanlar ikiye ayrılır. Riyazü's Salihin okuyanlar ve okumayanlar diye...

  • @eyupark634
    @eyupark634 2 месяца назад

    Bence sınıf farkı, alt sınıflar için problem üst sınıflar bu sistemin bozulmasını ve eşitlik olursa refah olacağı fikrine asla inanmaz bende üst sınıftan olsam ya da herhangi birisi sınıf farkından rahatsız olmaz

  • @yunusemre-gp1mn
    @yunusemre-gp1mn 2 месяца назад

    uzman doktorun minimum 150k aldığından da bahsetseydiniz keşke

    • @Cantay1
      @Cantay1 2 месяца назад

      120-140 alırlar genelde min 150 diye bir şey yok

    • @yunusemre-gp1mn
      @yunusemre-gp1mn Месяц назад

      @@Cantay1 reyis babam doktor, zorlama istersen

    • @kendinebir
      @kendinebir 24 дня назад

      150 alıyorlar knaks ​@@Cantay1

    • @eneriyüksek
      @eneriyüksek 19 дней назад

      @@yunusemre-gp1mnuzmanlığı ne

  • @varsakoglu
    @varsakoglu 2 месяца назад

    Doktor olsun taştan olsun:)

  • @EngineeringTR
    @EngineeringTR 2 месяца назад

    Burak Aykenar ismi bir yerden tanıdık geldi diyorum.. Etimesgut okuldan mı Sincan'da dersanelerden mi tanıdık biri miydi ki diye.. Videoda duydum.. Ben de EAL'den mezunum (2006).. Buralarda görmek güzel.. Selamlar hocam..

  • @Balistik7338
    @Balistik7338 2 месяца назад

    Hocam hedefim aselsan veya tusasta muhendis olmak.Tercihler icin son 1 saat bu videoyu izledim ve gazinin sektorde yer edindiginu gordum.gtu ucaktan gazi elektige tercihimi degistirdim

    • @sadkerenaydn1653
      @sadkerenaydn1653 2 месяца назад

      Ne geldi

    • @Balistik7338
      @Balistik7338 2 месяца назад

      @@sadkerenaydn1653 gazi ee

    • @Balistik7338
      @Balistik7338 2 месяца назад

      @@sadkerenaydn1653 gazi ee

    • @OktaySinanoglu_
      @OktaySinanoglu_ Месяц назад

      Gazi makine okunur mu? Şu an ek tercih var orayı yazabilirim ne dersinix

    • @Balistik7338
      @Balistik7338 Месяц назад

      @@OktaySinanoglu_ kanka ben savunma sanayine girmek istiyorum. Hedefim hacettepe ee ydi ama tutmadi.benim pek bilgim yok ama gazi savunma sanayinde artista.Makine de oyledir buyuk ihtimal.Hedefin savunma sanayimi kardesim?

  • @MimMusMet
    @MimMusMet 2 месяца назад

    Tıp kazananlara İngilizce Hazırlık okutmak TÜRK GENÇLİĞNE ATILMIŞ BÜYÜK BİR KAZIKTIR. Yapmayın, 1 yıl harcamayın. TR eğitim veren tüm bölümler için böyle.

  • @hakangok
    @hakangok 2 месяца назад

    Bogazici ez

  • @leylitarab3
    @leylitarab3 2 месяца назад

    Doktor efsane 😂😂

  • @leylitarab3
    @leylitarab3 2 месяца назад

    Abi haddim olmayan bi tavsiye. İyi niyetime inan. Sakalı top sakala çevir. Saç ektir. Sima baya toparlar. Böyle ODTÜ nün ortadoğu kısmı gibi duruyor sadece.

  • @Tumsekaynalar
    @Tumsekaynalar 2 месяца назад

    sohbet sarıyo

  • @kulturvator
    @kulturvator 2 месяца назад

    Hiç bir mühendislik tıp üzerinde olamaz. Doktor bu doktor.. mühendislik ameleliktir, tıpa puanı yetip te gitmeyen yada kazanıp ta bırakan adam da tam bir maldır. Ayrıca tıpa paunı yetip te yazmayan adam hep pişman olur.

    • @Cantay1
      @Cantay1 2 месяца назад

      Mühendis misin başkan

  • @mustafakaya1599
    @mustafakaya1599 2 месяца назад

    .

  • @iremzdmr3
    @iremzdmr3 2 месяца назад

    Hocam lütfen cevaplar mısınız eski videoların son yorumlarına çok dönüş yapmadığınız için bu videoya yazdım kusura bakmayın gazi üniversitesi otomotiv ve metalurji malzeme hakkında ne düşünüyorsunuz sizin gibi sektörü görmüş insan çok az da ben linkedinde metalurji sayısını otomotivden fazla gördüm ama bu durum ihtiyaçla alakalı mı bilemedim ve de otomotiv okurkende iş alanında da daha zorlayıcı olduğu için mezun olunca bulacağımız iş bunlara değer mi otomotiv mühendisine ne kadar ihtiyaç var lütfen yardımcı olur musunuz

    • @iremzdmr3
      @iremzdmr3 2 месяца назад

      Ve de metalurji mezunlarına bakıp izlediğimde bir makine ya da otomotiv kadar iş sıkıntısı çekmiyorlar galiba metalurji savunma sanayide güzel işler yapabilir mi tabiki kendine kattıkları da işin içinde de bunlar dahil çalışma pozisyon ve maaşları otomotivden daha mı iyi

    • @iremzdmr3
      @iremzdmr3 2 месяца назад

      Yorumlarınıza gerçekten ihtiyacım var çünkü mezun az çok bulabiliyoruz ama sektörü görmüş tanıdıkları olan insan bulmak zor

    • @bagvucisx
      @bagvucisx Месяц назад

      @@iremzdmr3 merhaba dostum öncelikle sakin ol otomotiv mühendisliği okursan alanını çok daraltmış olursun metalurji daha önde bu konuda ayrıca savunma sanayinde de evet kendine yer edinebilirsin fakat okurken ya yüksek bir ortalama ya da teknofest vs yarışmalara gönüllü stajlara katılmak şartıyla onun dışında muhtemelen metalurji mühendisliği ile otomotiv sektörüne daha rahat girersin saygılar

  • @almanduku9043
    @almanduku9043 2 месяца назад

    Bence verimsiz bir söyleşi olmuş. Zorlukları ne sevdiği tarafları ne hiç belli değil. Saçma bir video olmuş kısaca.

  • @y0639
    @y0639 2 месяца назад

    Bir de 10 yıllık motor ustası olsa😅

  • @pelin893
    @pelin893 2 месяца назад

    savunma sanayide çalışmayı ve ülkeme bir desteğim olmasını çok istiyorum. gazi otomotiv mühendisliği okuyarak bu isteğimi gerçekleştirme ihtimalim ne derece mümkün?

  • @pelin893
    @pelin893 2 месяца назад

    bu sene bu bölümü tercih etmek isteyenlere bölümü önerir misiniz?

  • @user-dl8bd8hm4c
    @user-dl8bd8hm4c 2 месяца назад

    hocam neden timer ticki clock gibi kullanmadık da ayrıca bir tane daha clock kullandık?

  • @KOSKMuhendislik
    @KOSKMuhendislik 2 месяца назад

    Mühendisin yaşama sevinci ile doktorun yaşama sevincine ,yüzlerinde ki gülümsemeye bakıyor musunuz?😅

    • @settm4894
      @settm4894 2 месяца назад

      doktor nöbetten çıkmış :)

  • @buketay90
    @buketay90 2 месяца назад

    Sn Dr ve Mühendis arkadaşım ben Cumhurbaşkanı olmak istiyorum bunun için hangi kaliteli üniversiteleri bitirmem gerekiyor ya da, Cumhurbaşkanı nasıl olabilirim???!!! Açıklarsanız sevinirim.

    • @buketay90
      @buketay90 2 месяца назад

      @@gladiatorrrr Cevap komik olmuş!!!!

    • @duvarssati
      @duvarssati 2 месяца назад

      Onun için imam hatip lisesi diploması yeterli be dostum

    • @buketay90
      @buketay90 2 месяца назад

      @@duvarssati Ama İmam Hatip den mezun olanlar ölü yıkayıcı olmuyor muydu?

    • @buketay90
      @buketay90 2 месяца назад

      @@Cantay1 🤗☺️

  • @mehmetsunu4442
    @mehmetsunu4442 2 месяца назад

    Kimse geleceğin ne getireceğini bilemez. Yapay zeka denilen şey tüm bilinç düzeyimizi değiştirecek. Ne doktorluk eski doktorluk ne mühendislik eski mühendislik olacak. Bence Türkiyenin bekası için mühendislere çok iş düşüyor. Lakin devlet yönetimi bunun bilincinde mi. Genç beyinleri gelecek kaygısının üzerinde mühendisliğe yönlendirmek gerekiyor

  • @mevlutakyildiz8017
    @mevlutakyildiz8017 2 месяца назад

    Muhendis var muhendis var doktor var doktor var bu kiyaslamanin hiçbir mantığı yok akıllı yetenekli doktor çok para kazanır