FPGA PROGRAMMING with VHDL - Lesson 1: Information for Those Who Want to Begin FPGA Programming

Поделиться
HTML-код
  • Опубликовано: 17 окт 2024
  • FPGA PROGRAMMING with VHDL
    Lesson 1: Information for Those Who Want to Begin FPGA Programming
    Course content:
    General information about FPGA
    CPU, microprocessor architecture and working logic
    Programming languages used to program CPU
    Compiler, assembler
    CPU vs FPGA architecture
    FPGA main features
    Xilinx, Vivado and ISE software tools
    Book recommendation
    / mehmet-burak-aykenar-7...
    by Mehmet Burak AYKENAR
    You can openly access and download the codes used in the "FPGA Programming with VHDL" playlist videos from the link below:
    github.com/mba...

Комментарии • 112

  • @mehmetcennetkusu8129
    @mehmetcennetkusu8129 3 года назад +42

    Burak abi ile okulda aynı laboratuvarda çalışma fırsatı bulmuştum. Orada da buradaki gibi yardımsever, çözüm odaklı biriydi. Benim adıma teşekkür ediyorum kendisine. İyi günler.

  • @omerozbek3399
    @omerozbek3399 3 года назад +8

    Bulunmaz bir nimet, bu güzel ve değerli bilgi paylaşımınız için teşekkürler hocam.

  • @sametvaran2464
    @sametvaran2464 3 года назад +9

    Bilgisini, deneyimini paylaşan gerçek bir mühendis. Allah yolunu açık etsin abi. Çok teşekkür ederim paylaşımların için 🙏🙏

  • @ufukkurekci8510
    @ufukkurekci8510 3 года назад +8

    İlim paylaştıkça büyürmüş elinize sağlık hocam :)

  • @cagatayyildirim1850
    @cagatayyildirim1850 3 года назад +7

    Faydalı bilgiler için çok teşekkür ederiz hocam. Benim elimden gelen sizin videolarınızı izlemek kendime bir şeyler katmak ve size dua etmek.

  • @ahakansahin
    @ahakansahin Год назад +3

    Hocam normalde çok fazla yorum yazmayı sevmem ama gerçekten verdiğiniz dersler çok kaliteli olduğu için yazıyorum. Arkadaşlarıma da sizin videolarınızı elimden geldiğince tavsiye ederek herkesin tecrübelerinizden ve ilminizden faydalanmasına çalışacağım. Allah razı olsun.

  • @beyazpenguen6059
    @beyazpenguen6059 3 года назад +5

    Faydalı bilgiler icin teşekkürler, videolarınızın devamını bekliyorum

  • @harunbozaci1054
    @harunbozaci1054 3 года назад +4

    Genellikle videolara yorum yapmayı sevmem fakat, derse ek olarak sondaki paylaşımı yapmış olmanız çok hoşuma gitti, akademik kültüre sahip insan olduğunuz için sizi kutlarım, derste de yaklaşımınızı çok beğendim teşekkürler başarılar.

  • @ahmetanadol5289
    @ahmetanadol5289 2 месяца назад

    Harika bir başlangıç . Mehmet bey teşekkür ederim çok sıcak bir video olmuş

  • @yigitguvenc8310
    @yigitguvenc8310 2 года назад +3

    Çok iyi bir insansınız gerçekten dersler için teşekkürler

  • @sinanvictimreis6971
    @sinanvictimreis6971 3 года назад +5

    Ahh be abii ben öğrenciyken yayınlasaydın ya şunları hayalim FPGA Tasarım mühendisi olmaktı :D bilgi , kaynak , cesaretlendiren şey olmadı , Gömülü Yazılım Mühendisi oldum ben de :D canın sağolsun sonraki arkadaşlar bol bol yararlanır umarım

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +4

      gömülü yazılım mühendisliği de çok güzel ve iş imkanı aslında FPGA tasarimcisina göre daha geniş bir alan. inşallah o alanda başarıli olursunuz 👍

  • @2002budokan
    @2002budokan Год назад

    Dinlediğim en iyi genel FPGA bilgisi bu videoda. Giriş kısmı biraz daha detaylı ve özenli hazırlanabilirmiş, ama sonrası cevabını aradığım bir sürü soruyu cevapladı.

  • @namesurname6645
    @namesurname6645 3 месяца назад

    Hocam cok buyuk bir insansınız teşekkür ederim emeğiniz için.

  • @mustafatarktavukcu3735
    @mustafatarktavukcu3735 3 года назад +28

    Allah ilmini artırsın abi :)

  • @mustafatarhan1
    @mustafatarhan1 3 года назад +5

    Emeğinize sağlık. İlk işim kitap sipariş etmek oldu. 🙏🙏

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад

      teşekkür ederim, peki hangi kitap: computer architecture mı devrim tarihi mi :)

  • @hikmetcankara5920
    @hikmetcankara5920 3 года назад +3

    Hocam çok teşekkürler paylaşımlarınız için, çalışmalarınızı heyecanla takip ediyorum.

  • @mustafasan2337
    @mustafasan2337 3 года назад +3

    Emeginize saglik cok degerli bilgiler.Umarım devamı gelir.Basarılar.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +2

      teşekkürler oynatma listesini takip edin inşallah sürekli güncel video yüklemeye çalışıyorum şimdiden 10 video oldu bile :)

  • @veyselaksoy8507
    @veyselaksoy8507 3 года назад +2

    Hocam çok teşekkür ederiz böyle bir seri yaptığınız için.

  • @DataBus
    @DataBus 3 года назад +6

    Ellerine sağlık abi, güzel olmuş. BSC

  • @yasinbektas1465
    @yasinbektas1465 2 года назад

    Teşekkürler Mehmet kardeşim... ağzına sağlık...

  • @ahmethunter2121
    @ahmethunter2121 3 года назад

    Sizin gibi insanlar oldukça memleket ilerleyecektir.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      doğduğumuz, ekmeğini yediğimiz toprakları hep birlikte çalışarak daha ileri götürmemiz lazım. bizden sonrakilere güzel bir emanet bırakmak nasip olur inşallah

  • @pusatberk4193
    @pusatberk4193 3 года назад +4

    Allah razı olsun.

  • @ahmetikbalkayapinar
    @ahmetikbalkayapinar 3 года назад +2

    Merhabalar hocam henüz üniversite sınavına hazırlanan ve bu konulara merak salmış birisi olarak fpga programlama,asic mimari tasarımı gibi konularda uzmanlaşmak için elektrik-elektronik mi bilgisayar mı tercih etmek gerekir? Malum Türkiye'de bilgisayar yazılım kısmına yoğunlaşıyor ama elektrik-elektroniğin elektrik ve haberleşme kısımları da ilgimi pek çekmediğinden görmek istemiyorum açıkçası. Teşekkürler şimdiden.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +3

      merhabalar ben üniversiteye hazırlanırken tıp mi yoksa mühendislik mi diye düşünüyordum, aradan geçen yıllarda lise öğrencilerinin vizyonu ve hedefleri çok gelişmiş tebrik ederim, bu konulara şimdiden ilgi duymak gerçekten harika. elektrik ve haberleşme kısımları özelleşmiş dersler, yani genelde isteyen seçmeli olarak onları alır, isteyen bilgisayar ağırlıklı dersler alır. ODTÜ elektronik için mesela son sınıfta ben network, operating system, compter architecture gibi dersler aldım. ama her okulda bu imkanlar olmayabilir. TOBB etüde de bilgisayarda master yaptım ve şu an bölüm baskani olan Oğuz Ergin hocayla çalıştım, mimari FPGA gibi alanlarda TOBB etüde bilgisayar daha ağır basıyor. ama elektronik okuyup yine bu dersleri almak da mümkün orada da. ben 2005 yılında üniversite tercih yaptım, şu anda ise o yıllara göre yazılım çok daha ilerledi dünyada. önümüzdeki yıllarda da yazılımin makine öğrenmesi ile birlikte daha da gelişeceği ongorulebilir. elektronik bence 2. dünya savasi ve sonrasında radar ve transistör ile başlayan yükselişinde 80li yıllarda CMOS ve DSP ile birlikte zirveye çıktı. elektronik günümüzde makine mühendisliği ile birlikte hala önemli yerini korumasına rağmen şu an üniversiteye girsem bilgisayar yazardım. yazılımin önü çok daha açık, hele post covid19 dönemde uzaktan çalışma vs yine yazılım avantajlı.

    • @ahmetikbalkayapinar
      @ahmetikbalkayapinar 3 года назад +1

      @@mehmetburakaykenar çok teşekkürler zaman ayırıp görüşünüzü belirttiğiniz için. Bir de esas şunu sormak istiyordum. Bilgisayar okuyup elektronik kısmında yan dal veya yüksek lisans yaparak bu alanlarda çalışabilmek mümkün mü acaba?

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +4

      şu zamanda her şey mümkün inşallah 😊, kişiye bağlı. ama bahsettiğiniz alanlarda su an itibariyle çoğunluk elektronik mezunu gözlemlerime göre

  • @omerfarukarc2900
    @omerfarukarc2900 3 года назад

    her yönü ile vhdl ile fpga adlı engin sarıtaş hocanın kitabını aldım. video serinize başladım. Değerli bilgileriniz için, böyle bir imkan sağladığınız için teşekkür ederiz hocam

  • @batuhan9847
    @batuhan9847 3 года назад +1

    teşekkür ederiz hocam paylaştığınız için

  • @hakanozbek3021
    @hakanozbek3021 3 года назад +1

    hocam merhaba videolarınızı yakından takip ediyorum.çok teşekkür ederim ne zamandır fpga ile ilgili böyle güzel çalışmalar görmemiştim.
    birkaç soru sormak isterim mümkünse;
    * fpga ve sayısal tsarım alanıma ilgiliyim. testbench kodu bulmadıgım örnek kodlarda , kodu dogru anlayabilmek için testbenc kısmını nasıl
    halledebilirim yani özellikle simule olarak görmek istiyoum.yada similasyonda ne yapıyor gibi.. bunu dönüştüren tools vs tavsiyeniz nedir? eda playground olabilir mi ?
    * harris harris kitabındaki kodları birebir ögrenme acısından çalıştırmak görmek istiyorum ne kadar mantıklı fpga geliştirmek acısından?
    *hocam bir videonuzda ingilizce demiştiniz hangi seviye en azından gereklidir bu alanda sizce toefl vs gerekli mi?
    çok teşekkür ederim.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      merhabalar hakan hocam. testbench kodunu kendiniz yazmanız gerekiyor, hem kendi hem de başkalarının tasarımlarını doğrulayabilmek adına testbench yazmayı basitçe de olsa öğrenmek gerekiyor.
      başkalarının kodlarını incelemek vizyon açısından iyi olabilir, opencores sitesi bu konuda iyidir.
      ingilizce dokümanları, videoları okuyup/dinleyip anlayacak kadar ingilizce lazım. bu sınavlar ise savunma sanayinde işe girmek için master kabulü almak için gerekli. ama zaten ingilizce dokümanları forumları okuyup anlayabiliyorsaniz İngilizceniz iyidir sınavdan da iyi alırsınız

  • @flywheels5432
    @flywheels5432 3 года назад +3

    Hocam emeğinize saglik, başarılar

  • @m.zahiderkaya4342
    @m.zahiderkaya4342 3 года назад +2

    Ağzınıza sağlık hocam.

  • @aykutyldz8940
    @aykutyldz8940 3 года назад +3

    Abi 3 cü sınıf öğrencisiyim sayısal tasarım dersi alıyorum ve bunun vesilesi ile fpga programlamaya merak sardım .İlk videondan eksik ya da yanlış öğrendiğim bilgilerimi ek bir şeyler kattığımı düşünüyorum .Teşekkürler.

  • @drbalontotis2474
    @drbalontotis2474 2 года назад

    Merhabalar hocam, ben derslerinizi biraz izledim fakat kafamda hala karışık olan bazı noktalar var. Mesela daha önce Ben Eater adlı kanaldan videolar izliyordum orada abimiz küçük bir mikrodenetleyici yapıyordu, bunu bir kaç breadboard üstünde devre elemanları ile fulladder 4 bit d register gibi şeyler kullanıyordu. Ve galiba siz bunu programlama ile bir özel devreye işaret ediyorsunuz o da gerekli logic kapılar ile bu bağlantıları sağlıyor. Anladığım kadarıyla bu şekilde.
    Peki biz sonradan kodlayarak yaptığımız bu mikrodenetleyici devresinde bir program çalıştırabilir miyiz? Önce işlemci devresini cizicez sonra assembly veya c ile bir program yazıp bu programı ikili formata dönüştürdugumuzde bu programı yaptığımız işlemcide çalıştırabilecek miyiz?
    Ve son olarak, yaptığımız bu devreyi küçük siyah mikrodenetleyicilere dönüştürebilir miyiz? Atmega gibi.
    Şimdiden teşekkürler hocam;

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      merhaba, evet fpga uzerinde mikroişlemci tasarlayıp çalıştırabilirsiniz. hatta şu an bu konu riscv buyruk kumesi ile çok popüler olmuş durumda. hatta bu sene teknofestte çip tasarım yarışması açıldı ve dediğiniz gibi mikroişlemci tasarımı üzerine (kanalımda riscv ve teknofest çip tasarım yarışması ile ilgili videolar var)
      siyah ciplere gelince, bunun için de benzer bir süreç var, fakat bu sefer FPGA tasarımı kadar kolay değil, çip tasarıminin pek çok aşaması ve süreci var. ASIC design diye aratıp detaylı bakabilirsiniz. bu aşamaları da yine çeşitli yazılım araçlarının yardımıyla tamamladıktan sonra bir fabrikaya bu cipin çizimlerini gönderiyorsunuz ve birkaç ay sonra fabrika size ciplerinizi üretim paketleyip gonderiyor

    • @drbalontotis2474
      @drbalontotis2474 2 года назад

      @@mehmetburakaykenar cevaplarınız için teşekkürler hocam

  • @uccoskun
    @uccoskun Год назад

    cok guzel temel bilgilerolmus. tesekur ederim.

  • @Aliye727
    @Aliye727 2 года назад

    oha içeriğe bak mükemmel helal olsun teşekkürler

  • @furkan2724
    @furkan2724 3 года назад +1

    Hocam emeğinize sağlık Allah razı olsun. Adamsınız

  • @keremcini393
    @keremcini393 Год назад

    hocam fpga yeni başladım vivado 2018.1 kurdum synthesis yaparken "synthesis failed" hatası veriyor ama hiçbir error ve warning vermiyor. sorun ne olabilir
    teşekkürker

  • @huseynilproqramlasma446
    @huseynilproqramlasma446 3 года назад

    oyrendiklerinizi paylasdiginiz icin tesekkurler

  • @muammernuray8119
    @muammernuray8119 3 года назад

    Hocam çok çok teşekkür ler çok faydalı ve güzel bir çalışma serisi devamının gelmesini dilerim başarılar

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      39 ders oldu bile seri :) fırsat buldukça yeni ders yüklüyorum inşallah

    • @muammernuray8119
      @muammernuray8119 3 года назад

      @@mehmetburakaykenar inşAllah hocam merakla bekliyorum ALLAH yardımcı ız olsun bende Fırat Üniversitesi elektrik elektronik mühendisliği mezunuyum lab dersinde biraz fpga ile uğraşma fursatım olmuştu son zamanlarda üzerine düşmeye başladığı da coşkun taşdemir hocanın kanalındaki sohbetinize denk geldim çok heyecanlandım türkçe içerik hazırladığınızı duyunca.

  • @mustafaturk9180
    @mustafaturk9180 2 года назад

    Hocam selam öncelikle videolar için şimdiden çok teşekkür ederim, hali hazırda python ve c biraz biliyorum okulda da öğrenmeye devam ediyorum, fpga board olmadan bu işi yürütmek çok zor olacak mı ? İlgimi çekiyor ama fpga boardlar aşırı pahalı, nano fpga boardlar işimi görür mü bu bağlamda ?

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад

      selamlar her zaman söylüyorum board lazım değil çok da pahalı hiç gerek yok ilk etapta, FPGA tasarimlarini simülasyon ile dogrulayabiliyoruz hatta bu yaklaşım çok daha faydalı gelistirmek için

  • @mekatronikmuhendisi8420
    @mekatronikmuhendisi8420 Год назад

    Bir şey sorabilir miyim? FPGA'lar çok dayanıksız mı ? Zybo Z-7-10 kartım vardı. Uart haberleşme yapacaktım pinlerine pl2303 modülünü bağladım yanlışlıkla 3v yerine 5 volt kabloyu takmışım. Normalde Zybo default programı yüklüydü bütün ledleri süslü bir şekilde yakıp söndürüyordu. PL2303 bilgisayara takınca 1 saniye geçmeden bütün ledler söndü. Sadece bir led az bir şekilde yanmaya başladı. Bidehada düzelmedi.

    • @mehmetburakaykenar
      @mehmetburakaykenar  Год назад +1

      zybo şematige bakınca VCC3V3 in pek çok entegreye girdiği görülüyor. baglanilan entegrelerin beslemelerinin Max gerilim değerlerine bakmak lazım, 5 üzerindeyse bu değerlerin entegreler zarar görür. kartı ciddi bı analiz etmek lazım bütün 3v3 bağlantıları kontrol etmek lazım

  • @leventkarabulut2949
    @leventkarabulut2949 6 месяцев назад

    Hocam merhabalar bu dönem yüksek lisansa başladım üniversiteden mezun olalı 7 sene oldu ve Matlab çalışma hayatında hiç kullanmadığım için neredeyse unuttum. Hocamız ileri sayısal tasarım dersinde vize için fpga kart ile motor kontrolü ödevi vermiş. Kartlar maliyetli olacağından simulink üzerinde de yapabilirsiniz demiş.
    DC Motor hız kontrolü (ve/veya Pozisyon kontrolü) için FPGA tabanlı PID Kontrolör Tasarımı
    Maksimum 2 kişilik proje (Son Teslim Tarihi: 3 Mayıs 2024)
    % 33 yılsonuna etkisi olacak
    Seviye (sadece P, I ve D parametreleri kontrol edilecek) %33 yıl sonuna etkisi
    Malzemeler
    Enkoderli DC Motor
    DC Motor sürücü kartı
    FPGA (5 adet FPGA kartı var)
    Diğer malzemeler (Board, pot vb.)
    Yapılacaklar
    PID kontrolcü araştırması (P, I ve D parametreleri ile)
    Literatür araştırması
    Devrenin kurulumu
    Sistemin çalıştığını gösteren simülasyonlar
    Sistemin çalıştığını gösteren deneysel çalışma
    Rapor Yazımı (Makale formatında)
    Bölümler:
    Başlık,
    Özet,
    Anahtar kelimeler,
    Bölüm: Giriş,
    Metot ve yöntem,
    PID controller ile DC motor kontrollü,
    Simülasyon ve/veya Deneysel Sonuçlar,
    Sonuç,
    Referanslar
    Ders kayıtlarını izlediğimde matlabı zaten biliyorsunuz oradan halledersiniz gibi konuşuyor. Bu konuda yardım alabileceğim bir kaynak var mı?

  • @alidemirci6576
    @alidemirci6576 2 года назад

    Hocam yeni balayan birisi olarak bir sorum olacaktı, FPGA için Assembly dili ile mi yoksa VHDL ile mi kodlamak daha avantajlıdır. Hangi dil ile başlasam daha faydalı olur. Teşekkür ederim.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      assembly ile FPGA programlayamazsiniz, assembly mikrodenetleyicilerin mimarilerini ve çalışma mantığını öğrenme açısından mantıklı olabilir ama FPGA ile pek alakası yok
      günümüzde vhdl ve verilog kullaniliyor FPGA programlamak için, bir de systemverilog diyebilirim verilogun güncellenmiş hali diyelim. eğer FPGA öğrenmek istiyorsaniz bu serideki videoları takip edebilirsiniz, ben vhdl kullandım bu seride ama verilog da olabilirdi

    • @alidemirci6576
      @alidemirci6576 2 года назад

      Allah razı olsun bilgilendirmeniz için.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      cümlemizden 👍

  • @AKHMENRAH
    @AKHMENRAH 3 года назад +2

    Hocam Vpga programlama üniversite bölümleri arasında kalmis bir konu bence çünkü ben eem 4. Sınıf öğrencisiyim iç mimari trasistor yapısını biliyorum ama bir islemcide kullanılan yazılım alu bellekleri bilgisayar mühendisliği derslerinde gösteriliyor Bilgisayar mühendisi de bu konuya tam hakim olamıyor eem'ci de bence emeğinize sağlık derslerinizi tekrar tekrar izliyorum Allah razı olsun sayenizde tanıdım fpga'yi ve öğrenmeye devam edicem

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +2

      merhaba, aslında ben FPGA yi daha çok elektronik mühendisliğine yakın görüyorum. bahsettiğiniz konular ise bilgisayar mimarisi ve mikrodenetleyiciler derslerinde anlatılıyor ama her üniversitede elektronik mühendisliğinde bu dersler verilmiyor olabilir. ODTÜ için konuşmak gerekirse son sınıfta bir alan seçiliyor ve bu alana yönelik zorunlu dersler oluyor. örnek olarak ben bilgisayar alanını seçtim, 2 dönem bilgisayar mimarisi dersi, mikrodenetleyici, network, işletim sistemleri, veri yapıları (c++ tabanlı), vlsi design gibi dersler aldım.

    • @AKHMENRAH
      @AKHMENRAH 3 года назад

      @@mehmetburakaykenar Merhaba hocam ben Düzce üniversitesinde okuyorum bizde maalesef branş seçimi yok ve seçmeli dersler de çoğu açılmıyor öğrenci sayısına erişilmedigi için gömülü sistemler dersi açılmadığı için alamadım bu açığı kendim kapatmaya çalışıyorum öğreniyorum ve Türkçe kaynak olarak da sizin videolarınız bulunmaz nimet,bende bu konuyu bir eem alanı olarak görüyorum eksiklerimi tamamlayip bu branşta ilerlemeyi düşünüyorum inşallah cevabınız için de çok teşekkür ederim.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      başarılar dilerim. bilhassa ingilizceyi geliştirmeyi bu alanda çalışmak isteyen herkese şiddetle tavsiye ediyorum. Türkçe bulduğunuz kaynak 1 ise ingilizce 10 hatta 100 oluyor. dokümanlar da hep ingilizce.

    • @AKHMENRAH
      @AKHMENRAH 3 года назад

      @@mehmetburakaykenar tavsiyeleriniz için çok teşekkür ederim hocam kaynak ingilizce dediğiniz gibi çok var onu da halledicem.

  • @efecan4842
    @efecan4842 Год назад

    Merhaba hocam iyi günler öncelikle.
    hocam videolarınızı izlemeye yeni başladım, belki videolarda bahsetmiş olabilirsiniz. bahsettiyseniz eğer şimdiden kusuruma bakmayın lütfen.
    Analog tasarıma girmeden, direkt olarak dijital tasarıma yönelebilir miyiz, öğrencilikte sayısal devre tasarımı dersinden heves edip, dijital tasarıma yönelmek istiyorum.
    dijital tasarımı öğrenmenin zor ve analog tasarım bilmeden dijital tasarıma girmemek gerek diye söyleniyor. kendimi dijital tasarım da direkt olarak geliştirebilir miyim. yoksa önce işin analog tarafına biraz hakim olup öyle mi geçmeyi önerirsiniz.
    Şimdiden ilginiz için teşekkür ederim, iyi akşamlar dilerim.
    Saygılarımla.

    • @mehmetburakaykenar
      @mehmetburakaykenar  Год назад

      merhaba analogla sayısal birbirinden bağımsız diyebilirim, yani sayısal tasarımin temeli logic design, yani benim de yeni çektiğim tobbda verdiğim mantıksal Devre Tasarımı dersidir. sonrasında da bilgisayar mimarisi mikrodenetleyiciler vs. analog tasarım daha farklıdır, kariyer yolları da daha farklıdır, profesyonel hayatta kullandıkları uygulama araçlar da farklıdır diyebilirim. kim ne mantıkla analog bilmeden sayısala başlama demiş bilmiyorum bı dinlemek işin hakkkati neymiş anlamak lazım. artık bilgi çağındayız, bir şeyi öğrenmek için beklemek vakit kaybı olur, açın internetten direk araştırmaya öğrenmeye başlayın, ilkokul çocukları kodlama ogrenebiliyor artik

  • @canantekin8536
    @canantekin8536 2 года назад

    Merhaba video için teşekkürler. vhdl de bir açının sinüsü veya kosinusu nasil hesaplanabilir Taylor serisine açarak sinusu yazınca sayilar cok büyük kalıyor bir öneriniz var mı acaba tesekkurler

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      merhaba, hızlı çözüm olarak cordic ip var, örneğin xilinx ip catalogda var. onu inceleyebilirsiniz

  • @sahilelektronikotomasyon
    @sahilelektronikotomasyon Год назад

    xilinx xc2s50 fpga ile yapılmış bir sistem var bu fpga nın sağlam olduğunu nasıl analayabiliriz? Birde JTAG HS3 Programlama Kablosu ile bu çip e bağlanabilir miyiz ?

    • @mehmetburakaykenar
      @mehmetburakaykenar  Год назад +1

      jtag ile FPGA ya bağlanıp okuma yazma yapılabilir, datasheetine baktım configuration kısmında jtag ile xilinx yazılımı üzerinden programlanir diyor. digilent hs3 yeni ve hızlı bir arayüz, tahminim sıkıntı olmaz bağlantı için ama denemeden de bişey diyemem, bir de bu FPGA ailesini ve part number destekleyen eski bir xilinx ise yazılım versiyonu bulup onun üzerinden yapmak lazım erişimi

    • @sahilelektronikotomasyon
      @sahilelektronikotomasyon Год назад

      @@mehmetburakaykenar çok teşekkür ederim kıymetli bilgileriniz için. Mail adresinizi verir misiniz? Fotoğraf gönderip bir kaç soru sormak istiyorum sakıncası yoksa?

    • @mehmetburakaykenar
      @mehmetburakaykenar  Год назад +1

      direk yoruma yazmıyorum mail adresimi spamlanabilir botlar tarafindan diye önlem olarak (başıma geldi), kanal ana sayfasinda "about" ya da "hakkında" kısmında email bilgim var tıklayınca geliyor, oradan ulaşabilirsiniz

  • @alicimen8935
    @alicimen8935 Год назад

    hocam bu eğitime daha yeni başlıyorum . elimde DE0-Nano-SOC kit varbu kiti vivado ile kullanabilirmiyim

    • @mehmetburakaykenar
      @mehmetburakaykenar  Год назад

      bu seride nexys A7 (eski adıyla ddr) kartı kullanıldı ki uzerinde xilinx artix7 FPGA var. sizin dediğiniz kartın üzerinde Intel (eski adıyla altera) FPGA var, Vivado değil quartus ile kullanabilirsiniz, intelin sitesinden ücretsiz versiyonu var quartusu indirip kullanabilirsiniz

  • @Emirhan-tw3xr
    @Emirhan-tw3xr Год назад

    Yok böyle bi seri valla

  • @supremedestini1789
    @supremedestini1789 Месяц назад

    Hocam merhaba.
    FPGA kullanılarak kripto madenciliği yapılabiliyor. Bir kripto para için FPGA madenciliği yazılımı yazabilir misin. Eğer yazabilirsen bunun için sana iyi bir miktar para verebiliriz. Yapabileceksen ve ilgileniyorsan lütfen bana dönüş yapın. 😊

  • @huseynilproqramlasma446
    @huseynilproqramlasma446 3 года назад

    emeginize saglik

  • @abdurrahmanfirat6579
    @abdurrahmanfirat6579 7 месяцев назад

    ❤🎉

  • @dembudem291
    @dembudem291 3 года назад

    Emeğinize sağlık hocam. fpga konusu anlayabilmek için hangi konuları bilmek gerek. temel elektronik, lojik devreler, c++,Bilgisayar Organizasyonu vs.. listeleye bilirisiniz.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +4

      logic design yani mantiksal devre tasarımı şart. morris Mano nun meşhur kitabı vardır Türkçe'ye bile çevrilmiş okullarda o okutulurdu eskiden. herhangi bir programlama dili bilgisi de her ne kadar FPGA tasarımı farklı da olsa algoritma mantığı anlamak için lazım. iyice uzmanlaşmak için de computer architecture (bilgisayar mimarisi) ve microcontrollers (mikrokontrolculer) dersleri iyi olur. tabi bir de digital circuit design ve vlsi design da artı katar. zaten bilgisayar ve elektronik mühendisliği dışında da FPGA kodlayan görmedim pek, bu bölümleri okuyunca da bu dersler alınıyor otomatikman en azından temel olanlar

  • @sahilelektronikotomasyon
    @sahilelektronikotomasyon 2 года назад

    Merhaba hocam size bir konu hakkında tecrübenize dayanarak bi soru sorabilir miyim?

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +2

      hocam soru sormak için izin istemeyin bence kimseden 😊 sorun gitsin

  • @mekatronikmuhendisi8420
    @mekatronikmuhendisi8420 2 года назад

    FPGA kod atıyorum çok güzel çalışıyor. Ama elektriği kapatıp açınca içindeki yüklü kod siliniyor. Neden hafızası siliniyor.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад

      29. dersi izlediniz mi ? orada cevabı var

    • @mekatronikmuhendisi8420
      @mekatronikmuhendisi8420 2 года назад

      @@mehmetburakaykenar tesekkur ede1ri1m yeni basladim

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      jtagdan FPGA ya program atınca konfigürasyon yükleniyor ve sizin kod çalışıyor, güç gidince konfigürasyon da gidiyor. bir sonraki güç açmada flash bellekte hangi konfigürasyon yüklüyse onu çekiyor FPGA ve onu çalıştırıyor, flashin içini degistirmek gerek

    • @mekatronikmuhendisi8420
      @mekatronikmuhendisi8420 2 года назад

      @@mehmetburakaykenar anladim sorunu bende cyclone 2 ozel bir sorun sanmıştım tesekkut ederim.

  • @arinoba492
    @arinoba492 2 года назад

    Abi Bilkent EE'de xylinx basys-3 ve vivado kullanılıyor hala.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад

      bence gayet iyi, bilkentin sayısal tasarım proje sunumları RUclipsda var izledim çoğunu, çok güzel projeler var, Bilkent çok iyi gerçekten de, hem akademik kadro hem de öğrenciler

  • @sefakaraca2749
    @sefakaraca2749 2 года назад

    Hocam kaynak olarak önereceğiniz bir kitap var mı

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      benim zamanımda pong Chu FPGA prototyping by VHDL examples vardı güzel kitaptı internette de PDF si var

  • @gurkanuslu945
    @gurkanuslu945 Год назад

    Hocam bu konuya başlamak için neler bilmeliyiz ?

    • @mehmetburakaykenar
      @mehmetburakaykenar  Год назад +1

      logic dersi, mantıksal devre tasarım dersi almış olmak gerekir

  • @beratkaya1438
    @beratkaya1438 2 года назад

    adam adammmmmmmmmmmmmmmmmmmmmmmmmm

  • @gorkemmert4480
    @gorkemmert4480 3 года назад

    Hocam altera ile dersi takip etsek çok fark olur mu arada

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +2

      yüzde 95 aynı, sonuçta kodlar VHDL ile yazıldı, ikisinde de çalışır, xilinx IP kullanılan tasarım var mıydı hatırlamıyorum, xilinx IP kullanılırsa o zmn alterada çalışmaz. geliştirme araçları farklı ama kodlar ve konsept aynı

    • @gorkemmert4480
      @gorkemmert4480 3 года назад

      @@mehmetburakaykenar Cevap verdiğiniz için çok teşekkür ederim hocam.

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад +1

      başarılar dilerim 👍

  • @irhanm9654
    @irhanm9654 3 года назад

    Hocam FIFO ile alakalı bir konu anlatım videonuz var mı

    • @mehmetburakaykenar
      @mehmetburakaykenar  3 года назад

      malesef, ama pong Chu nun FPGA prototyping by VHDL examples isimli kitabında direk fifo ile bir chapter var ve kodu da var orada

    • @irhanm9654
      @irhanm9654 3 года назад

      @@mehmetburakaykenar teşekkür ederim

  • @KonuralpBalcik
    @KonuralpBalcik 2 года назад

    fpga anlayanlar neden cryptocurrency için Türk yapamı bir miner yapmıyorlar ? bu işlerden analaynar çok basit fpga işlemci ve donanım satmaktan zengin olmuşken ?

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +3

      FPGA alanında uzmanlaşmak ciddi zaman alıyor. benim gördüğüm FPGA alanında uzmanlaşanlar da savunma sanayinde tam zamanlı çalışıyor ya da yurtdışına gidiyor orda tam zamanlı çalışıyor. çalışırken de master doktora fln yapıyor çoğu. crypto, bitcoin ya da başka konulara boş zamanında vakit ayırması gerekiyor, genelde bu vakit de tam zamanlı çalışınca bulunamıyor. community ve iletişim de zayıf olunca herkes işine gücüne bakıyor işte. FPGA daha doğrusu sayısal tasarım malesef ülkemizde çok istihdam potansiyeli olan bir alan değil, genelde savunma sanayi, yavaş yavaş high frequency trading (hft) duyuyoruz yeni bir alan olarak. dünyada çok farklı bu durum tabi, bizim ülkemizde de sayısal tasarım alanı genişler inşallah

  • @emre.karacaa
    @emre.karacaa 3 года назад

    Merhaba, ücretli VHDL projesi yapan varsa bana ulaşabilir mi? Teşekkürler

  • @semsmustafacakiroglu3372
    @semsmustafacakiroglu3372 2 года назад

    Allah razı olsun.