Mailbox in System verilog | Part 2 | Examples|

Поделиться
HTML-код
  • Опубликовано: 12 сен 2024

Комментарии • 10

  • @MSQ819
    @MSQ819 7 месяцев назад +1

    thank you for the vedio
    Please explain any complete system verilog testbench example and how to write different test cases

  • @srini.....
    @srini..... 6 месяцев назад +1

    Nice, Can you please explain this in the consideration of IPC, put the data in one class and get the data in another class from the same mail box, how the mailboxes will connect b/w those 2 classes

    • @susheelapatagar
      @susheelapatagar  6 месяцев назад

      Please go though this. I hope u can understand it.
      edaplayground.com/x/EkYu

    • @srini.....
      @srini..... 6 месяцев назад +1

      ​@@susheelapatagar Thanks a lot,

  • @Sheukh-j6m
    @Sheukh-j6m 4 месяца назад +1

    Mb.put(name) should be there na

    • @susheelapatagar
      @susheelapatagar  4 месяца назад

      You can pass either variable name or variable value itself.
      name is string type and i am passing its value "STRING"

  • @Sheukh-j6m
    @Sheukh-j6m 4 месяца назад +1

    If we want to copy all three values what to do

    • @susheelapatagar
      @susheelapatagar  4 месяца назад

      Use peek() method first and before calling the second peek(), get the data first by calling get() and so on..
      Without removing the last data u cannot copy the new data.

  • @Sheukh-j6m
    @Sheukh-j6m 4 месяца назад

    mb.put()