FPGA and DSP ep. 1:Efficient parallel FIR filter implementation on FPGA

Поделиться
HTML-код
  • Опубликовано: 26 окт 2024

Комментарии • 19

  • @ericksonramos4622
    @ericksonramos4622 Год назад +5

    Thank you for taking your time to create this series. This is GOLD.

  • @emielv7677
    @emielv7677 6 месяцев назад

    I've got a dsp exam this friday and I actually understood everything you did here. Very cool, finally the implementation makes sense now too

  • @patrickcs
    @patrickcs 3 месяца назад

    Thank you, most interesting and inspiring. Will have to watch again to get all the details. Then try a simple filter. 👍👍👍👍

  • @nebaem
    @nebaem 9 месяцев назад

    cristal clear, short = great ...
    Thank You

  • @madd1magnum
    @madd1magnum 3 года назад

    Very Understandable video!
    Thanks!

  • @blue007Guitar
    @blue007Guitar 2 года назад +4

    Amazing work! I'm new to FPGA-based implementations, and I'm currently working on an FPGA Audio DSP for a university project. I would greatly appreciate it if you could tell me if using your approach would enable me to implement multiple FIR filters on Digilent's Zybo Z7 Development Board (it's based on Xilinx Series 7000 FPGA). Thank you very much!

    • @DimitarHMarinov
      @DimitarHMarinov  2 года назад +2

      Hi, yes you can use it, however, for audio, it's better to implement the filters sequentially. Otherwise, you're going to use too many resources. Hope that was helpful.

  • @adamfranklin3129
    @adamfranklin3129 2 года назад +1

    I believe something is wrong with the HDL. The A registers of the DSP slices are not being inferred (except areg[0], very apparent in your RTL schematic). Am I missing something here?

    • @DimitarHMarinov
      @DimitarHMarinov  2 года назад +1

      Yes, I just checked to be sure. What you have found is correct - the RTL will infer only the first areg. I guess Vivado decided the other registers are not needed. Nevertheless, you should get the correct result when you run the implementation.

  • @anishmgeorge207
    @anishmgeorge207 7 месяцев назад

    Can you please give me some applications where we can apply FIR filters of this kind(using the DSP48E1)?

  • @nikolaykostishen6402
    @nikolaykostishen6402 Год назад

    Thanks!

  • @m1geo
    @m1geo 3 года назад

    Useful. Thanks!

  • @43691000
    @43691000 3 года назад

    Great!

  • @ms.rchitra9641
    @ms.rchitra9641 2 года назад

    can you plz tell how to install coefficient translator?

  • @bepositive3514
    @bepositive3514 2 года назад

    How can i convert my coefficients to fixed point binary?

    • @DimitarHMarinov
      @DimitarHMarinov  2 года назад

      Hi, if you're using my coefficient converter, you can select the binary option and the desired bit-width.

  • @sandeepkumarravirala7028
    @sandeepkumarravirala7028 3 года назад +2

    How to do this in verilog