VERILOG CODE FOR ALU

Поделиться
HTML-код
  • Опубликовано: 18 сен 2024

Комментарии • 9

  • @panostzakis6925
    @panostzakis6925 2 года назад

    Sir thank you for this.A very serious and excellent work .!! I hope to see more like this.Thanks again.

  • @gurukirans266
    @gurukirans266 4 года назад

    best explaination ever ,you deserve more subscribers and views and likes ,
    thanks a lot

  • @prasannasrinivasalu2168
    @prasannasrinivasalu2168 4 года назад +3

    Hi, I’ve a doubt here. In the Test bench on line 15, it says “+ 8’h01;” but alu_sel is just a 4 bit, so it possible to give 8 bits as input? By the way this is video is excellent and each line explained so well. Thanks for your work!

    • @prasannasrinivasalu2168
      @prasannasrinivasalu2168 4 года назад

      Have run the code with both " 8'h01 an 4'h01''". It doesn't throw any error and doesn't any change in the output. However in the test bench, whilst intialising the alu_sel to zero (ALU_sel = 4'h0;) it requires a delay it seems(ALU_sel = 4'h0; #10;) if not it just skipping "0000" and goes straight to "0001" and so on.

  • @harshnohwar5843
    @harshnohwar5843 4 года назад +3

    how this code can be converted in code of 32 bit ALU..??

  • @KasakParekh-k4v
    @KasakParekh-k4v Год назад

    Give the circuit digram for the same

  • @prasanth9163
    @prasanth9163 4 года назад +1

    superb explanation.. could you please send your email id. I have some clarifications regarding verilog. thanks

  • @keerthikapk1031
    @keerthikapk1031 3 года назад +1

    Where is 5 +4 =9?in output