Hello world video using Xilinx Zynq, Vivado 2020, and Vitis

Поделиться
HTML-код
  • Опубликовано: 11 сен 2024

Комментарии • 60

  • @lokeshprajapati6576
    @lokeshprajapati6576 3 года назад +11

    First tutorial so far that I could follow all the way through and everything works. Thanks for the video!

  • @michaelalex5235
    @michaelalex5235 2 года назад +18

    This should be a template for all instructional videos that try to address complex tools like Vivado and Vitis. Xilinx has a lot to learn when it comes to making videos explaining their tools to beginners, IMO. Thank you very much.

  • @ayylien5934
    @ayylien5934 3 года назад +9

    Thank you so much for this tutorial, I've been completely clueless on how am I supposed to work with it and had no idea where to start. This tutorial was an incredible starting point and I'm very grateful I stumbled upon it.

  • @NicolauWerneck
    @NicolauWerneck 3 года назад +3

    Thanks a lot for this video! I wanted to add a hint for newbies who may be struggling like I did: make sure your MiniZed boot mode is set to 'J' instead of 'F'!

  • @richardcai4921
    @richardcai4921 2 года назад +2

    This is just the video I need to figure out simply how vivado and vitis cooperate. Thank you very much.

  • @srdjanbabic8304
    @srdjanbabic8304 2 года назад

    Thank you so much for this! I've had a look through at least 5 different tutorials until I saw yours and finally made my board do something!

  • @apprentice2101
    @apprentice2101 3 года назад +1

    Thank you very much for the video! It's very helpful.
    I wish I could upvote your video more than once

  • @86Carrera911
    @86Carrera911 Год назад

    Thanks so much for making this!!! I'm a complete n00b, but you got me started.

  • @CyberKrish271
    @CyberKrish271 3 года назад +1

    Great informative video for beginners.....

  • @emadmojaveri1404
    @emadmojaveri1404 3 года назад +1

    quick and very informative, thanks mate

  • @paparoach3025
    @paparoach3025 2 года назад +2

    I’m using a Cora Z7 board and when I run the auto router in the block diagram it throws an error that the clk input is not connected to a valid source. The block diagram didn’t change the way yours did. Any idea what it could be?

  • @sevillajessahmae8109
    @sevillajessahmae8109 2 года назад +2

    Hi. can I ask where did the M_AXI_GPO_ACLK go??

  • @Sandeep-tc1yv
    @Sandeep-tc1yv Год назад

    Thankyou very much, this video was extremely helpful.

  • @totolovesunsun
    @totolovesunsun 2 года назад +1

    It is very helpful. Are you able to put together a video to show us how to utilize the GigiEnthernet on the board the Zynq board and use the Ethernet board to transmit data to another computer?
    Thank you for your time!

  • @chummyelec
    @chummyelec 4 месяца назад

    I have a question re: minute 20:00. You have got your board plugged in... How is it plugged on? How is the Hello World app downloaded to the board? Over USB/UART? Over Ethernet, where the so called agent on the remote board grabs the files sent and places them in a specific location and runs the app? Over JTAG interface? Cheers

  • @kasperbuurvistesen1702
    @kasperbuurvistesen1702 3 года назад +1

    Thanks for this video. Very helpful

  • @dadominicanstyl
    @dadominicanstyl 3 года назад

    Robert great video tutorial, thanks. :)

  • @user-ww2lc1yo9c
    @user-ww2lc1yo9c 2 года назад +3

    You should have included a GPIO component in the tutorial so it would be even better!

  • @xEcko6
    @xEcko6 Год назад +2

    When attempting to Validate the Design, I keep getting the error ' [BD 41-758] The following clock pins are not connected to a valid clock source:
    /processing_system7_0/M_AXI_GP0_ACLK'.
    Any ideas?

    • @xEcko6
      @xEcko6 Год назад +3

      In case anyone was wondering, if you have an 'M_AXI_GP0_ACLK' input pin, you need to connect that to the 'FCLK_CLK0' and that solves all your problems.

    • @felipeferreira1960
      @felipeferreira1960 8 месяцев назад +1

      @@xEcko6 Thank you very much for your comment, it was very useful. Could you tell me the reason for this? Furthermore, I would be very happy if you have references to learn more about the platform. Thanks

    • @kushalpkoundinya4752
      @kushalpkoundinya4752 28 дней назад

      Same error any solution??

  • @yrm1594
    @yrm1594 3 года назад +1

    When it comes to actual hardware, how does C interact with the "hardware"? When I build something using vivado, where can I output/input 1/0 using C/Vitis?

  • @mbuaesenju8514
    @mbuaesenju8514 Год назад

    Very helpful. Thank you.

  • @angelg3986
    @angelg3986 8 месяцев назад

    good video, but it doesn't tell about licensing - I changed the chip to Kintex UltraScale XCKU15P and it says I don't have license for HLS synthesis

  • @Bwajster
    @Bwajster 2 года назад

    Does Vitis HLS v2022.1 support built-in HLS Functions such as hls::Threshold, hls::Erode, hls::Dilate, hls::Mul, hls::Duplicate, hls::MinMaxLoc, hls::CvtColor etc. ?

  • @nielspaulin2647
    @nielspaulin2647 3 года назад

    Excellent!

  • @MohdRizwanEC
    @MohdRizwanEC 8 месяцев назад

    Is it work with picorv32 with some interconnect and peripherals ?

  • @user-ww2lc1yo9c
    @user-ww2lc1yo9c 2 года назад

    why are there 2 board support packages in the video?

  • @edgarmatzinger9742
    @edgarmatzinger9742 Год назад

    And if I don't want to use an IP? To just write VHDL and synthesize that (after providing a target chip of course)?

  • @estebangabrielcabanillas7582
    @estebangabrielcabanillas7582 2 года назад

    Thanks for your video, very usefull! Do you know the reason for Xilinx to switch SDK yo Vitis? It seems pritty much the same thing...

  • @alexandrosanastasiou1964
    @alexandrosanastasiou1964 3 года назад

    Thank you so much!

  • @skabdulhaibasha3263
    @skabdulhaibasha3263 8 месяцев назад

    I want to recive gps data through uart on zed board. how to do that?

  • @rildank5557
    @rildank5557 3 года назад +1

    Hello, thanks for the video. Can you explain what are the differences between Xilinx SDK and Vitis ?

    • @spaceman1328
      @spaceman1328 Год назад

      SDK is derived from xilinx vivado & vitis is followed from vivado 2019 .

  • @steveandamyalso
    @steveandamyalso 2 года назад +1

    You keep referring to IP. Internet Protocol? Intellectual Property?

  • @user-tc9gn1zq4m
    @user-tc9gn1zq4m 3 года назад +1

    Thanks

  • @syedmraza99
    @syedmraza99 3 года назад +1

    Much Appreciated!! Helped me with Udemy Course 'Learn Fundamentals of FPGA and VHDL Development; Lecture 70

  • @sebastainandexer5119
    @sebastainandexer5119 3 года назад +1

    Hello and thanks you for your videos on this channel.
    I'd like to learn the xilinx vitis software platform for programming software part and implementing the hardware part with it.
    Can you suggest me how to learn it and where should I start (like which user guides and ...).?
    Best regards

    • @robertswan8546
      @robertswan8546  3 года назад

      I'd suggest getting a demo board, like the Avnet minized. I think its only around $100 USD, then just do what you are already doing watch the videos and start creating your own projects. I have to say that watching the video is helpful, but doing it yourself will make what you learn stick.

    • @sebastainandexer5119
      @sebastainandexer5119 3 года назад

      @@robertswan8546 Thank you very much

  • @andreigeorge8493
    @andreigeorge8493 Год назад

    hello, thank you for the tutorial! i keep having a problem when trying to create the hello world application. when i select the "Hello World" example, i get the following message: "This application requires a Uart IP in the hardware." i am using zybo 7z010 board. what am i doing wrong? should i add at the beginning a UART ip core in vivado block diagram or something like that?

    • @xEcko6
      @xEcko6 Год назад

      Were you able to program the board? What version of Vivado were you using?

    • @andreigeorge8493
      @andreigeorge8493 Год назад

      @Kiernan King 2019.2 i come back tomorrow with the details.

  • @mihirvaghela2185
    @mihirvaghela2185 3 года назад

    I want to print hello world on third party simulator Xcelium which is available in vivado.. can you please tell me how to do that? Or can you provide me with any tutorial to do so?

  • @alexandrosanastasiou1964
    @alexandrosanastasiou1964 3 года назад

    While connection to com4 is successful at this baud rate, the terminal prints nothing. Is there any suggestion?

    • @isidroy
      @isidroy 3 года назад +1

      I had the same problem.. I closed Vitis, connected the board and open Vitis again. It worked for me.

    • @felipeferreira1960
      @felipeferreira1960 8 месяцев назад

      @@isidroy , Did you manage to solve your problem? One question, did you use USB for debugging and another cable for USB-UART for UART communication on the terminal?

  • @vijaydattu709
    @vijaydattu709 3 года назад

    Hello sir,thanks for the video,how to work with lwip tcp server client application vitis in qemu emulator?if possible please tell us the procedure.

  • @kishoremulupuri113
    @kishoremulupuri113 3 года назад

    Unable to create firmware project...

  • @yonghongbai5023
    @yonghongbai5023 3 года назад

    I am using Vivado 2020.02. But there are no Zynq when I want to Add IP. Who know why?

    • @nihadferhatovic5192
      @nihadferhatovic5192 3 года назад

      Maybe you missed something in the installation process. I installed it two-three days ago and it works perfectly fine.

  • @no5x937
    @no5x937 Год назад

    @15:08 you Browsed your project folder for your design_1_wrapper.xsa file and it successfully opened with Operating System: standalone and Processor: ps7_cortexa9_0.
    Well, when I selected my design_1_wrapper.xsa file it took a while BUT both the Operating System and Processor were greyed out and blank.
    It would not let me continue. So, can I select a default XSA and which one?
    Or do I need to go back and fix something?
    Note: since my free Vivado 2021.2 ML Version failed with the MiniZed board I had to substitute the Zybo Z7-20 (xc7z020clg400-1) board, Report IP status, Upgrade Selected, Generate the Output products which worked, Run Synthesis, Implementation, and Generate Bitstream successfully, Export the Bitstream file to Target HW, selected Zybo Z7-20's XSA file created in my project folder, Exported HW Platform.
    Then I opened Vitis Tools > Launch Vitis IDE > Create New Platform > hello_world_platform > XSA File: design_1_wrapper.xsa > Open > Reading HW specification.
    Software specification:
    Operating System: greyed out
    Processor: greyed out
    Aby suggestions on how to remedy this problem?
    After further investigation, it appears you skipped a few steps in Synthesis because you did not use a MiniZed board Constraints file and did not set the I/O pins for this design application. So, go the following critical warnings:
    General Messages
    [Common 17-55] 'set_property' expects at least one object. ["c:/Users/..../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":33]
    [Vivado 12-1411] Cannot set LOC property of ports, Could not legally place instance design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF at E16 (IOPAD_X1Y108) since it belongs to a shape containing instance design_1_i/processing_system7_0/inst/PS7_i. The shape requires relative placement between design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF and design_1_i/processing_system7_0/inst/PS7_i that can not be honoured because it would result in an invalid location for design_1_i/processing_system7_0/inst/PS7_i. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":188]
    Design Initialization
    [Common 17-55] 'set_property' expects at least one object. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":33]
    [Vivado 12-1411] Cannot set LOC property of ports, Could not legally place instance design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF at E16 (IOPAD_X1Y108) since it belongs to a shape containing instance design_1_i/processing_system7_0/inst/PS7_i. The shape requires relative placement between design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF and design_1_i/processing_system7_0/inst/PS7_i that can not be honoured because it would result in an invalid location for design_1_i/processing_system7_0/inst/PS7_i. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":188]
    So, do you have a recommended I/O Ports for the following this applications (86) signals?
    Thanks,
    JT

  • @94raviteja
    @94raviteja 2 года назад

    Great Tutorial. I Have some questions as I have recently purchased a KV260 board and am trying to port my code onto it. Do you have a discord where I can hit you up?

  • @danielbowman7507
    @danielbowman7507 3 года назад

    is there a tutorial to do this for linux platform, i keep getting a sd card error