Icarus verilog + GTKWave installing and running | Free software for verilog HDL

Поделиться
HTML-код
  • Опубликовано: 22 янв 2021
  • Iverilog is a free software where we can compile & check the waveform of our design , I have explained in the video , how to download and run it.
    Steps -
    1. Search for Icarus verilog , select the first link , then download the required file according to os and config of your pc .
    2 .set the Environmental variables
    3. Create your design file
    4 . Use the below commands in your cmd
    Compile it:
    iverilog -o dsn counter_tb.v counter.v
    Then run it:
    vvp dsn
    Then look at the test.vcd waveform:
    gtkwave test.vcd &
    Please do subscribe 👍

Комментарии • 77

  • @KarthikVippala
    @KarthikVippala  2 года назад +5

    Namaste 🙏, everyone if you are facing any issues try downloading the latest version 👍😊

    • @user-wc9hg1py2n
      @user-wc9hg1py2n Месяц назад

      while trying to get the gtk waveform it is showing "GTK Analyser v3.3.48 (w)1999-2013 BSI " can u please let me know what to do

  • @z.o.e3023
    @z.o.e3023 2 года назад +1

    Thank you. Works perfectly

  • @alanreyes28
    @alanreyes28 Год назад +1

    thank you for the tutorial, is there a way for you to execute the iverilog command outside the bin file? Or do I always have to save new projects into the bin folder? Thanks in advance

  • @rubik8529
    @rubik8529 Год назад +2

    Thanks for this tutorial.
    One doubt, is there anyway to get internal signals in gtkwave? I can only see the input/output ports in gtkwave

  • @user-md5wj9uo5r
    @user-md5wj9uo5r Год назад +1

    It was really helpful
    and thanks alot🥰

  • @Medha460
    @Medha460 5 месяцев назад +2

    'C:\iverilog\bin' is not recognized as an internal or external command,
    operable program or batch file. error

  • @pranavchauhan3549
    @pranavchauhan3549 2 года назад +1

    object file test.v.txt command is not running, but simply test.v runs fine

  • @gerhenry7127
    @gerhenry7127 2 года назад +1

    Great content and good music also 👍

    • @KarthikVippala
      @KarthikVippala  2 года назад

      Namaste 🙏 Henry, thanks for the support, good luck and great health 👍😊

  • @Shruti-dh2ic
    @Shruti-dh2ic 2 месяца назад

    Hi, hear in the compilation and simulation command what is the meaning of "dsn"?

  • @harikrishna9231
    @harikrishna9231 Год назад +1

    Thank You So much

  • @430ashamandadi3
    @430ashamandadi3 Год назад

    In cmd I didn't get bin folder what can I do sir plz suggest me

  • @semiconductor9149
    @semiconductor9149 Месяц назад

    Is there any software where we can directly write code and execute them without using cmd?

  • @zymone5257
    @zymone5257 2 года назад +8

    It's not working on my pc, whenever I am trying to run the test file. it's telling me "no top-level module, and no -s option",

    • @amolchoubey932
      @amolchoubey932 2 года назад

      I am getting same error

    • @sahilraj690
      @sahilraj690 10 месяцев назад

      Save the test file in bin folder and rum command without writing .txt in enf

    • @user-wc9hg1py2n
      @user-wc9hg1py2n Месяц назад

      ​@@sahilraj690while trying to get the gtk waveform it is showing "GTK Analyser v3.3.48 (w)1999-2013 BSI " can u please let me know what to do

  • @skyline-wd3gr
    @skyline-wd3gr Год назад

    after i entered vvp dsn,its not displaying anything

  • @koushivesangi7956
    @koushivesangi7956 2 года назад +2

    I have installed iverilog and the first iverilog command is also working
    but when I pass vvp test1
    Its showing me "Unable to open input file"
    What should I do??

    • @KarthikVippala
      @KarthikVippala  2 года назад

      Please check the name given in previous command

  • @nichelos1
    @nichelos1 9 месяцев назад

    If I want to use iverilog, vvp and gtkwave cmd to compile and view waveform, should I separate "design.v" and "testbench.v" as two files ? Is it ok that I combine "design.v" and "testbench.v" in one .v file then go compile and follow-up process ? Thanks.

    • @OlDinesh
      @OlDinesh 5 месяцев назад

      no u cant have them in same file

  • @VishalSingh-el7rx
    @VishalSingh-el7rx 3 года назад

    Love ❤

  • @aathil7465
    @aathil7465 11 месяцев назад +1

    Thanks alot

  • @PRIYANKADAS-kl2cq
    @PRIYANKADAS-kl2cq 2 года назад

    When I am running the first cmd as iverilog.exe -o test test.v.txt then I'm getting error as syntax error and Missing close quote of string.

    • @noicenoise8718
      @noicenoise8718 2 года назад +3

      You can remove the ".txt" extension if your file is renamed to "test.v" only. Works for me.

  • @mohamedzahran3340
    @mohamedzahran3340 3 года назад +2

    how do I compile a file without having to put the file in the iverilog folder itself ? If my file is in another workspace how do I compile using iverilog ?

    • @sakshigandhewar4489
      @sakshigandhewar4489 2 года назад

      Same issue

    • @mohamedzahran3340
      @mohamedzahran3340 2 года назад +1

      @@sakshigandhewar4489 I resolved the issue, someone told me to download the latest version available rather than the one mentioned in this video, after I installed the latest version I can use the iverilog command anywhere

    • @mohamedzahran3340
      @mohamedzahran3340 2 года назад

      @@sakshigandhewar4489 ruclips.net/video/3Xm6fgKAO94/видео.html this is the video that helped me

  • @skyline-wd3gr
    @skyline-wd3gr Год назад +2

    if there are multiple modules interlinked to each other,then how do i run it on terminal

    • @KarthikVippala
      @KarthikVippala  Год назад

      Give all file names in the commmand, or u can create a filelist and source it

  • @prateekchauhan189
    @prateekchauhan189 3 года назад +3

    in command prompt it is showing iverilog not recognised, i already added it to environmental variables and downloaded same version as you,kindly help me out

    • @amj7090
      @amj7090 2 года назад +1

      If u found how to install let me help also same I am also getting like that

    • @sree_r4g_
      @sree_r4g_ 4 дня назад

      How to solve it

  • @Pappu77775
    @Pappu77775 22 дня назад

    is your background Palani by any chance??

  • @AdityaSharma-ug3ip
    @AdityaSharma-ug3ip 6 месяцев назад

    hey can u please share the code ? is there any other way to excute this?

  • @luckywood1826
    @luckywood1826 10 месяцев назад

    I love that you have riot games pile

  • @zaidakhtar3093
    @zaidakhtar3093 3 года назад +1

    Would you please provide the test codes of counter.v in the description.
    This would help viewers to verify their system

  • @anushamg2488
    @anushamg2488 2 месяца назад

    The bin has different files.There is ntg called test.v 😭😭😭

  • @vaibhavverma4732
    @vaibhavverma4732 Год назад +3

    "no top-level module, and no -s option" Error can be resolved by entering into command prompt "cd \iverilog\bin" then "iverilog test.v" then "vvp a.out" to get the output as"Hello, World".

    • @anirbansarkar3104
      @anirbansarkar3104 Год назад +1

      hi same error, cant resolved can you please help me

    • @vaibhavverma4732
      @vaibhavverma4732 Год назад

      @@anirbansarkar3104 For me, entering the command: "cd \iverilog\bin" followed by "vvp a.out" worked, got the output, hopefully it should work in your case too

  • @alainniganze2305
    @alainniganze2305 4 месяца назад

    can please provide to us that code

  • @matambasavaraju3430
    @matambasavaraju3430 2 года назад +1

    Thank you

    • @KarthikVippala
      @KarthikVippala  2 года назад +1

      Thanks for the support good luck,& great health 👍😊

    • @matambasavaraju3430
      @matambasavaraju3430 2 года назад

      @@KarthikVippala sir I want to enter into vlsi field
      what I have to learn before doing mtech in VLSI design?
      Now I am studying final year btech (ece)
      We have verilog in this semester

    • @matambasavaraju3430
      @matambasavaraju3430 2 года назад

      @@KarthikVippala thanks for replying sir

    • @KarthikVippala
      @KarthikVippala  2 года назад +1

      Namaste BasavaRaju 🙏 , please ask all your questions , I will be interviewing a 30year vlsi expert to answer them for you.

  • @princepathak9521
    @princepathak9521 2 года назад

    i cant find test.v file in bin

  • @sakshigandhewar4489
    @sakshigandhewar4489 2 года назад

    I am not getting that test.v file concept exact where we have to save that file as i am getting no such file directory error plzz reply it's urgent

  • @tejab8352
    @tejab8352 3 года назад +1

    any simulator for system verilog?

    • @KarthikVippala
      @KarthikVippala  3 года назад

      Namaskaram 🙏 Venkat , I will update you, if I find any free software.
      Good luck & great health 👍😊

  • @sardharvankunavath1988
    @sardharvankunavath1988 2 года назад +1

    Am not able to find test.v in my system

    • @sardharvankunavath1988
      @sardharvankunavath1988 2 года назад

      I need how to do bro am not getting i need it urgently i have project submission

    • @KarthikVippala
      @KarthikVippala  2 года назад

      Namaste sardhar, we need create test.v manually,thanks for asking ,good luck & great health:)

    • @sardharvankunavath1988
      @sardharvankunavath1988 2 года назад

      @@KarthikVippala where we have to create

    • @sardharvankunavath1988
      @sardharvankunavath1988 2 года назад +1

      @@KarthikVippala when am going to create it was like new folder

    • @KarthikVippala
      @KarthikVippala  2 года назад

      Create a text doc

  • @sampatharaojyotsana7412
    @sampatharaojyotsana7412 3 года назад +1

    I am trying to install iverilog but during the cmd step my pc is replying i give up. syntax error again and again

    • @KarthikVippala
      @KarthikVippala  3 года назад +1

      Please try again it will work, Good luck & Great Health :)

    • @ecestories8816
      @ecestories8816 3 года назад

      facing the same issue!

    • @beaconbin465
      @beaconbin465 2 года назад +1

      Mine was showing the same issue but it was resolved after I saved the test.v file as All File and not as text docs

    • @prakashipl1703
      @prakashipl1703 2 года назад

      @@beaconbin465 please help it's showing no such file or directory exists when I was creating object file

  • @ahmedfarooqkhan8541
    @ahmedfarooqkhan8541 Год назад

    counter_tb is giving syntax error, please help
    module test;
    /* MAKE A RESET THAT PULSES ONCE. */
    reg reset = 0;
    initial begin
    $dumpfile("test.vcd");
    $dumpvars(0,test);
    # 17 reset = 1;
    # 11 reset = 0;
    # 29 reset = 1;
    # 5 reset =0;
    # 513 $finish;
    end
    /* make a regular pulsing clock. */
    reg clk = 0
    always #1 clk = !clk;
    wire [7:0] value;
    counter c1 (value, clk, reset);
    initial
    $monitor("At time %t, value = %h (%0d)", $time, value, value);
    endmodule // test

  • @malcolmfernandes6122
    @malcolmfernandes6122 3 года назад

    Valoo

    • @KarthikVippala
      @KarthikVippala  3 года назад

      Namaskaram _/\_ Fernandes , What does valoo mean?
      good luck & great health, Take care :)

    • @malcolmfernandes6122
      @malcolmfernandes6122 3 года назад

      @@KarthikVippala Valorant :)

  • @mohamedahmed1258
    @mohamedahmed1258 3 года назад

    plisse give me link!

    • @KarthikVippala
      @KarthikVippala  3 года назад

      Namaskaram Ahmed 🙏,There is no link , you can find it on google , good luck & great health 👍😊