Installing Icarus Verilog + GTKWave on MacOS

Поделиться
HTML-код
  • Опубликовано: 23 июл 2024
  • Instructions for getting started with Verilog on macOS.
  • НаукаНаука

Комментарии • 57

  • @TurquoiseSnow
    @TurquoiseSnow 3 года назад +22

    This literally came at a perfect time, as I was just trying to figure out how to do this (first time Mac & Verilog user). Very easy to follow, thanks a lot!

    • @yelhaus
      @yelhaus  3 года назад

      I'm glad it helped!

    • @Maj_venture
      @Maj_venture 3 года назад

      same happened to me

    • @markfiala3652
      @markfiala3652 2 года назад

      Yes, helped me too, including the valuable security thing at the end to make GTKWave to run. Thanks for the time making it!

  • @torRamo
    @torRamo 2 года назад

    very clean and concise video. I appreciate the dense and straight forward installation instructions. Thank you.

  • @PilatesinSacramento
    @PilatesinSacramento 10 месяцев назад

    Thank you for the helpful video. It's surprisingly difficult to compile and simulate Verilog on a Mac and this was exactly what I needed!

  • @matteoturini7145
    @matteoturini7145 Год назад

    For now you save my life, I really love u man. I hope this verilog will work for at least 2 days

  • @PEGuyMadison
    @PEGuyMadison Год назад

    Thanks for your time! This got me up and running quickly.

  • @donut_astrd
    @donut_astrd 3 года назад

    Thanks a lot man. This video saved me a hell of a havoc. Really appreciate your work!!

  • @minhajsixbyte
    @minhajsixbyte 3 года назад +2

    I used macos briefly a year ago. Homebrew is a lifesaver, it's amazing that projects like this exists. Yet as it is mainly based on cloning git repos, it is significantly slower than Linux (or even windows WSL) package managers as they can serve binaries from high speed mirrors.

  • @selimkuzucu3770
    @selimkuzucu3770 3 года назад

    You saved me some valuable time, thanks man!

  • @jjchico2009
    @jjchico2009 3 года назад +2

    Thanks. It's going to be useful to my students too.

  • @parth8687
    @parth8687 2 года назад +1

    thanks man it really helped me..🙌

  • @Howtochooseproperhandle
    @Howtochooseproperhandle 3 года назад +4

    Thx for this video, This works on M1 mac as well

  • @markfiala3652
    @markfiala3652 2 года назад

    Color schemes -can you tell us how to change them? I like. yours better, it sticks out more.

  • @BrianThomas
    @BrianThomas 2 года назад

    what is Ver Structural Verilog Compiler generated AET

  • @jono6196
    @jono6196 6 месяцев назад

    Did GTKwave prompt anyone else to also install Rosetta, enabling GTK to run on Macs M chips?

  • @hector8733
    @hector8733 Год назад +1

    what vs code color theme is that?

  • @phatlam1477
    @phatlam1477 3 месяца назад

    help, for some reason I can't create a wave form file from the .vvp file?

  • @user-od8xb1re4m
    @user-od8xb1re4m 8 месяцев назад

    You're a king

  • @AmanShukla-iz3vy
    @AmanShukla-iz3vy 4 месяца назад

    gtkwave doesn't seem to work on macos 14, can you suggeest a solution?

  • @sandsack123
    @sandsack123 Год назад

    no links in the descriptions ... why?

  • @AlokSardesai
    @AlokSardesai 2 года назад +1

    Does this work with M1 Macs?

  • @lucasdiconcilio
    @lucasdiconcilio 2 года назад

    Thank you so so sooooo much!!!

  • @JedHelmers
    @JedHelmers 2 года назад

    Maybe I missed it, but how does one actually write this onto an FPGA? I mean, I could github my stuff then pull it down on a Linux machine, but I'm trying to avoid that

  • @kedharguhan
    @kedharguhan Год назад

    This video is of great help to so many people stuck on Mac systems. Can you help me figure out why when I run vvl .vvp, I just get Simulation Complete, but not the waveform dump file?

    • @siddharthst2712
      @siddharthst2712 10 месяцев назад +1

      u need to specify dumpfile for ur testbench

  • @InvaderRuben
    @InvaderRuben 2 года назад

    thank you so much

  • @safayetable
    @safayetable 3 года назад

    hey Derek, when I typed "gtkwave test.vcd &" , it says "command not found: gtkwave". I cant figure out whats wrong.

    • @micahpinto8368
      @micahpinto8368 2 года назад

      Hey did you figure how to do this.

    • @safayetable
      @safayetable 2 года назад

      @@micahpinto8368 yeah! It was for my last semester assignment. And i forgot

    • @Lantertronics
      @Lantertronics 2 года назад

      Probably need to add the directory containing gtkwave to your path.

  • @sasakihaise3006
    @sasakihaise3006 3 года назад

    Life saver

  • @angieolonan7542
    @angieolonan7542 Год назад

    Hi! This is really helpful but my problem is that when I run the vvp file, it doesn't make a vcd file for me. What do I do?

    • @KhanhPham-bb8wy
      @KhanhPham-bb8wy Год назад

      i have the same problem with you, u solved the problem yet ? can u help me ?

    • @sugammaheshwariZettabolt
      @sugammaheshwariZettabolt Год назад

      Your program must be missing $dumpfile and $dumpvars after initial block, therefore .vcd file is not being generated.

  • @jarettbragg4589
    @jarettbragg4589 3 года назад

    thank you...

  • @AdityaGupta-xg7ez
    @AdityaGupta-xg7ez 3 года назад

    Hey derek i wanna work on vhdl can i do that with icarus verilog ??

    • @micahpinto8368
      @micahpinto8368 2 года назад

      Hey I wanted to know about it too

    • @micahpinto8368
      @micahpinto8368 2 года назад

      I have some questions. How can I contact you?

  • @mohitgarg4467
    @mohitgarg4467 3 года назад +1

    my vvp "filename.vvp" command is not generating anything

    • @mariusfacktor3597
      @mariusfacktor3597 3 года назад +2

      Add this to your testbench.v
      initial begin
      $dumpfile("waveform.vcd");
      $dumpvars(0,testbench_module_name);
      end
      where testbench_module_name is the name of your testbench module

    • @sugammaheshwariZettabolt
      @sugammaheshwariZettabolt Год назад

      Your program must be missing $dumpfile and $dumpvars after initial block, therefore .vcd file is not being generated.

  • @abhishekvsagarnal2176
    @abhishekvsagarnal2176 Год назад +1

    its showing command not found

    • @viswatara1231
      @viswatara1231 Год назад

      same, did you find a solution for this?

  • @dimitrygallego5956
    @dimitrygallego5956 3 года назад +1

    what can you do if you get an error "No such file or directory No top level modules, and no -s option."

    • @erodrigolopez
      @erodrigolopez 3 года назад +1

      I have the same problem! :(

    • @Maj_venture
      @Maj_venture 3 года назад

      @@erodrigolopez same here.
      what can we do?

    • @ryanebenezer8014
      @ryanebenezer8014 3 года назад

      @@Maj_venture You will have to go to the directory where you have stored your .v files and then perform the steps

    • @deepkrishnan9840
      @deepkrishnan9840 2 года назад

      @@ryanebenezer8014 @@UCKWAl2DgVB6lXByUNHk1ZFQ I have the same problem, please tell how to include the directory while running

    • @micahpinto8368
      @micahpinto8368 2 года назад

      @@deepkrishnan9840 how can I contact you. I want to know about the same