ÇİP TASARIMI - Ders 1: Açık-Kaynak Openlane Kurulumu | Örnek Proje Derlenmesi | Google Open MPW

Поделиться
HTML-код
  • Опубликовано: 13 июн 2022
  • ÇİP TASARIMI - Ders 1: Açık-Kaynak Openlane Kurulumu | Örnek Proje Derlenmesi | Google Open MPW
    Google sponsorluğunda efabless open mpw program sayfası:
    platform.efabless.com/project...
    Caravel user project repo:
    github.com/efabless/caravel_u...
    Slack sayfası:
    join.slack.com/t/skywater-pdk...
    Sky130 nm PDK github repo:
    github.com/google/skywater-pdk
    İngilizce Openlane flow video:
    • OpenLane Overview

Комментарии • 39

  • @kamildoan
    @kamildoan 2 месяца назад +3

    Bu kanal bir hazine resmen

  • @erendemirr
    @erendemirr 2 года назад +4

    Elektrik Elektronik alanı hiç ilgimi çekmiyor ama kanalınızdaki her videoyu neredeyse izledim. Türkçe kaynağı az olan konular nihayetinde bu bölümü okuyan arkadaşlara ilaç gibi geliyordur. Teşekkürler.

  • @timecomments
    @timecomments 5 месяцев назад +1

    Birçok işlemcinin yüksek çözünürlüklü die'sini inceledim. Çok ilgimi çeken konu hocam.

  • @mfs6165
    @mfs6165 2 месяца назад +1

    Hocam çok sağolun

  • @latifakcay7453
    @latifakcay7453 2 года назад +5

    OLDUKÇA FAYDALI BİR SERİ OLACAĞINA EMİNİM.
    MEHMET HOCAM GÜZEL BİR HİZMET, SAĞOLUN.
    BİR ÖNERİ OLARAK SERİNİZE EKLEYEBİLECEĞİNİZ OPENRAM PROJESİNİ DE İNCELEYEBİLİRSİNİZ.
    ZİRA BELLEKLERİN ASIC TASARIMI DA EN AZ DİJİTAL ÇİPLER KADAR ÖNEMLİ.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      hocam her türlü öneri ve tavsiyeye açığım, openram mutlaka kullanacağım inşallah aklımda 👍

  • @hicret2905
    @hicret2905 2 года назад +3

    Çok teşekkürler hocam. Çok mutlu oldum videoyu görünce. Şu sınav haftasından sağ salim çıktıktan sonra izleyeceğim. :)

  • @yigitmertsevindim1669
    @yigitmertsevindim1669 2 года назад +5

    Elinize sağlık hocam çok güzel bir seri daha geliyor anlaşılan:)

  • @birdost1360
    @birdost1360 2 года назад +2

    Allah Yardımcınız olsun. Yolunuz açık olsun. Allah ilminizi arttırsın

  • @mehmetarifartan5633
    @mehmetarifartan5633 2 года назад +2

    elinize sağlık.teşekkürler

  • @ahmeteser870
    @ahmeteser870 2 года назад +1

    Teşekkürler.

  • @turkalpkucur
    @turkalpkucur 2 года назад +1

    teşekkürler

  • @kx250fay6
    @kx250fay6 2 года назад +2

    Güzel bir video olacak video kaydet bastım zamanım yok diye umaqrim basit çip tasarlarim bu video sonra

  • @ahmetcitak5163
    @ahmetcitak5163 2 года назад +4

    Hocam bilmiyorum nasıl çıktı bu karşıma ama 8 bit transistor computer tasarımları araştırırken karşıma çıktınız. İnanılmaz mutlu oldum. Lütfen bırakmayın bu seriyi.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +2

      nasıl şeyler araştırıyorsunuz öyle 😬😅

    • @ahmetcitak5163
      @ahmetcitak5163 2 года назад +1

      @@mehmetburakaykenar Keşke 70'lerde elektrik elektronik mühendisliği okusam dememin sonucudur hocam. FPGA ile çip tasarlamak yerine transistörler ile tasarlamak bana daha çok şey öğretecek diye düşünüyorum.

  • @eminakgun4301
    @eminakgun4301 2 года назад +1

    abi sudo ile çalıştırdığın için export ettiğin değişkenleri görmüyor sanırım. Docker- user’da izin vermek iş görüyor aslında. Docker kendi sitesindeki kurulum yönergelerinde de aynısını yaptırıyor zatenz. Ben de aynı hatayı alıp ilk bulduğunu uygulamıştım. Ancak hala her boot olduğunda o komutu girmem gerekiyor diye hatırlıyorum. Kendisini bir shell scriptine koydum lazım olunca çalıştırıyorum :)

  • @eminakgun4301
    @eminakgun4301 2 года назад

    Abi ayrıca Verilog’dan ziyade Yosys SV’deki tasarım için kullanılan yapıları yeteri kadar destekliyor, always türevleri ve reg wire yerine logic gibi. Ben bu şekilde basit bi tasarım koyup yosys ile sentezledim. Github repomda var

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      evet ileriki derslerde hangi systemverilog yapıları destekleniyor sentezleme yaparken deginebilirim 👍

  • @omeliheren
    @omeliheren 3 месяца назад

    Hocam webde sistem gereksinimlerini bulamıyorum konuyla alakalı dönüş yapar mısınız

  • @ismailtoprak
    @ismailtoprak 2 года назад

    Hocam selamlar. Sizinle aynı noktada takıldım. openLane ve pdks'i masaüstüne çıkarınca çözüldü problem. Neden öyle olduğuna anlam veremedim hiç. Fakat bundan önce de başka hatalar almıştım. "apt install -y build-essential python3 python3-venv python3-pip" komutu ile o problemler çözülmüştü.
    Bu arada klayout programını kurduğu halde açarken hata alan arkadaşlar "sudo apt-get -f install" komutu ile gerekli bağımlılıkları indirerek problemi çözebilir.

  • @felicityoswald6159
    @felicityoswald6159 2 года назад

    Bu programla yalnızca layout tasarımı yapılabiliyor gibi. Yani yalnızca PCB tasarımı tasarımı yapılabilen Altium programının IC versiyonu gibi gözüküyor.
    Şematik tasarımı, simülasyonu, sonra layout'a aktarım ve layout simülasyonunda kullanılamıyor, ondan dolayı kullanışsız duruyor.
    Cadence Virtuoso gibi bir program sanmıştım.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      hayır dediklerinizin hepsi yapılabiliyor, openlane içinde magic var, xschem var, onlarla yapılabiliyor
      ilerleyen derslerde hepsini yaparız nasipse

    • @felicityoswald6159
      @felicityoswald6159 2 года назад

      @@mehmetburakaykenar o zaman merakla bekliyoruz gelecek dersleri

    • @msaideroglu
      @msaideroglu 2 года назад

      Virtuoso muadili XSchem'i kullanabilirsiniz.

  • @eeefff5828
    @eeefff5828 Год назад

    hocam merhaba elektrİk elektronik mühendisliği ikinci sınıf öğrencisiyim(üç olacak) vhdl fpga kodlama derslerinizi severek takip ediyorum gezinirken bu seriyi yeni oluşturduğunuzu farkettim henüz vhdl de çok yeniyim bu seriyle vhdlin bağlantısı var mı veya çip tasarımı yapabilmemiz için kesinlikle bilmeniz gerekiyor dediğiniz şeyler nedir ? Bu seriyi sizle birlikte ilerlemeyi çok isterim fakat bilgi eksikliği olduğu için merak ettim yanıtlarsanız çok sevinirim.

    • @mehmetburakaykenar
      @mehmetburakaykenar  Год назад

      openlane yosys sentezleyici kullanıyor, yosys de sadece verilog kabul ediyor. dolayısıyla mecbur verilog bilmek lazım.

    • @eeefff5828
      @eeefff5828 Год назад

      @@mehmetburakaykenar anladım teşekkür ederim ve bize böyle bilgiler sunduğunuz için ayrıca teşekkür ederim , yolunuz açık olsun :)

  • @Enes-mu9xs
    @Enes-mu9xs 2 года назад

    Hocam ee okumayı düşünüyorum adı olan uniler gelmiyor, gaziden yukarısı geliyor buralarda okumakmi mezunmu

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      bu tarz sorulara verilen cevaplar yanlış yonlendirici olabiliyor, gazı veya yıldırım beyazita fln gitseniz de bence çok başarılı olabilirsiniz, dünya çok değişti

  • @timecomments
    @timecomments 5 месяцев назад

    Hocam caravel template'de verilen işlemcinin olduğu yer bize açık mı içini inceleyebiliyor muyuz?

    • @mehmetburakaykenar
      @mehmetburakaykenar  5 месяцев назад

      caravel komple açık-kaynak her şeyi inceleyebilirsiniz

  • @huseyindag2811
    @huseyindag2811 4 месяца назад

    Hocam vivado ile kıyasladığınızda ne gibi avantajlara sahibiz bu yazılımda?

    • @mehmetburakaykenar
      @mehmetburakaykenar  4 месяца назад +1

      Vivado FPGA programlama için bu direk çip tasarım için gerekli farklı konseptler

  • @yks_2026tayfa
    @yks_2026tayfa 5 месяцев назад

    Hocam teknofest için çip yapmaya çalışacağım lise 2 deyim nereden başlamam gerekiyor lütfen yardım eder misiniz

    • @mehmetburakaykenar
      @mehmetburakaykenar  5 месяцев назад +1

      Öncelikle iyi bir üniversitede iyi bir bölüm kazanmak için sınava çok çalışmanızı tavsiye ederim. Çip tasarımı konusu genel itibariyle daha çok Elektrik-Elektronik mühendislerinin ve biraz da Bilgisayar Mühendislerinin çalıştığı bir alandır. Bu bölümleri kazanmaya odaklanmak lazım. Matematik ve İngilizce'nizi geliştirebilirsiniz. Çip tasarımı ile ilgili ise doğrudan şu aşamada çalışmak yerine internetten bu konuyla ilgili makale okuyabilir ve video izleyebilirsiniz, ama işin teknik tarafından ziyade genel hatlarına odaklanmak daha mantıklı olur.

    • @yks_2026tayfa
      @yks_2026tayfa 5 месяцев назад

      @@mehmetburakaykenar Cevap vermenize çok sevindim teşekkür ederim sınava çok çalışıyorum ama bunun yanında bir şeyler yapmak istiyorum tasarlayacagim çipi kendim yapmayacağım yazılımını yazmam lazım ve gerisini projeyi kabul eden kişilere bırakacağım çipi yapma aşaması bende değil ben yazılım ve raporlarla ilgileneceğim yazılım için ne önerirsiniz? Teknofest RUclips sayfasinda çip tasarım dersleri vermiş 23 te oradan dinlesek yol katedermiyiz sizce 3 kişiyiz

  • @sinanorbay1583
    @sinanorbay1583 2 года назад

    hocam ben hacettepe'de elektrik elektronik mühendisliğinde 1.sınıfım, aslında fizik yazmayı düşünüyordum, bilim yapmak istiyordum ama aç kalırsın muhabbeti ve özgüven yetersizliği ile girdim mühendisliğe.
    bu bir yılda ben gerçekten nefret ettim buradan, calculus 1 2, fizik 1 2 aslında dersler çok güzel, programlamaya giriş, sayısal sistemler, elektronik, elektromanyetik... dersler çok keyifli ama ünversite yapısı gereği rezalet ilerliyor. calculusun ne olduğuna dair bir öğrencinin okuldan edinebildiği düzgün tek bir fikir bile yok :D
    bu matematik veya fizik öğrenmek değil, yaptığımız mühendislik yapıcı orijinal fikirler üretmeye yer açabilecek bir eğitim ihtiyacını burada alamaz, imkanı yok. sınavlar zor ilerleyen yıllar daha sıkıntılı.
    yani şuraya 4 seneyi vermek tam anlamıyla vakit kaybı.
    ben eşit ağırlıktan sınava girdim geçen hafta herhalde ilk bine falan girerim. dediğim gibi fizik istiyordum başlangıçta ama zaten bir şeyler okudukça asıl ilgi alanımın doğa felsefesi olduğunu da gördüm, bilkent felsefe yazmayı planlıyorum. yurt ve burs veriyor zaten bilkent, tübitak da burs veriyor. asgari ücret kazanıyorsun 4 yıl yani. doktorada da ister yurtdışı ister yurtiçi yine tübitak temel bilime destek oluyor. felsefeyi de temel bilim sayıyorlar, saçmalık ama sorgulamaya gerek yok paramızdan olmayalım :D
    yalnız hocam akademide sıkıntılar yaşarsam veya bir sağlık sorunum olursa ki bu yıl yaşadım insanın paraya ihtiyacı oluyor, part time veya tam zamanlı bir iş yapmam gerekebilir. felsefenin ilk dönemi bilkent python veriyor, kendim ilerletmeyi ve ctis yandalı yapmayı planlıyorum. programlamaya giriş, algoritma ve veri yapıları, object oriented programlama dersleri zorunlu 2 tane de restricted elective var kendi kafamda meslekte kendimi konumlandırmak istediğim duruma göre seçerim, 3 sene sonrası zaten bu.
    benim merak ettiğim şey şu hocam, size sormak istediğim: birkaç senedir üniversite okumayın vakit kaybı, kendini geliştir iş bul muhabbeti çok dönüyor. bu muhabbeti yapanlar sınavda ilk 200 e giren boun ceng deki çocuklar değil. bu lafların gerçekçi bir yanı var mı? benim birçok hacettepe eee deki arkadaş bitirince freelancer çalışırız moruk, frontend kodlarız kafasındalar.
    ben bilkent felsefe ve ctis yandalı yaptıktan sonra mevcut kodlama bilgilerimle bir işe başvurduğumda benden daha iyi olmamasına rağmen odtü hacettepe ceng mezunları direkt önüme geçer mi?
    yani bilkent felsefe den sonra kariyerim akademi veya burger king mi olur :D bu durumda heyecan arama git mühendisliği bitir para kazanırken felsefe doktoranı alır öyle ilerlersin diye düşünmek mi mantıklı yoksa boşver bu saçma sapan derslerle uğraşma git bilkent felsefe de sevdiğin dersleri gör, yurdunda kal temel bilim bursunu harca 4 sene sonrasında sektörde yine kodlama bilginle iş bulursun mu dersiniz?
    amacım yazılımcı olmak değil zaten, geçinmek için çalışmam gerekebilir sadece bu durumda da yapabileceğim en makul iş bu. yani çıkıp abd ye doktoraya gitsem tübitak'ın bana vereceği belli bir para var hadi diyelim yönergede değişiklik oldu hiçbir halt vermedi bu sefer geçinmek için para kazanmam lazım. problem çözmeyi sevdiğim ve felsefe dolayısıyla matematik ve mantıkla ilgilendiğim için en keyifle yapıp en çok kazanacağım iş programlama yapmak olur.

    • @mehmetburakaykenar
      @mehmetburakaykenar  2 года назад +1

      serin hikaye 😅 Türkiye'de kurumsal firmaların pek çoğu hala üniversite, bölüm ve ortalamaya önemli bir ağırlık veriyor. yazılım piyasası biraz daha farklı, orada sanırım biraz daha esnek ve ise alınırken daha çok yetenek ve tecrübeye bakıyorlar. bir felsefe mezunu gelişmekte olan bir ülke olan Türkiyede nasıl nerede iş bulur hiç bir fikrim yok, herhalde akademi tek şans gibi. gelişmekte olan ülkelerde temel bilim pek ekmek getirmiyor malesef, çünkü ülke kaynakları daha çabuk gelire veya hizmete dönüşebilecek kısa orta vadede karlı olan mühendislik ve tıp gibi alanlar öne çıkıyor. eğer gerçekten felsefe konusunda hırslı ve idealist iseniz mutlaka kalbinizi dinleyin derim, ama gerçekten çok istekliyseniz, çünkü oyleyseniz bu alanda dünya markası olabilirsiniz, azimle durmadan yorulmadan çalışarak. fakat bu kolay bir iş değil, inanın mühendisler bile Bir süre sonra çoluk çocuk olunca rahat arıyorlar, hırs ve azimlerini kaybediyorlar. ne diyelim, hakkınızda hayırlısı