Linux Ubuntu Icarus Verilog and gtkwave tutorial. Simulation and waveforms. Design practices.

Поделиться
HTML-код
  • Опубликовано: 11 сен 2024
  • A simple starter tutorial on how to use icarus verilog and gtkwave on ubuntu.

Комментарии • 11

  • @viniciomonge3960
    @viniciomonge3960 Год назад +4

    Bro I FUCKING LOVE THIS TUTORIAL, you can't know how many times I tried to make this work and couldn't. I always wanted to learn verilog and got stuck from the very beginning. Oh boy I love you

    • @amentothatt
      @amentothatt  Год назад +4

      keep going future ASIC/FPGA engineer

  • @diab-maslaha
    @diab-maslaha Год назад +2

    thank you so much for this helpful guide, you are amazing!

  • @dubrbro
    @dubrbro 2 месяца назад

    Thank you Sir! Very clear explanation!

  • @user-ks4oy6pz7d
    @user-ks4oy6pz7d Год назад

    it is THE BEST video! Thank you very much!

  • @rahulkulk745
    @rahulkulk745 Месяц назад

    OMGGGGG, thank you so much sir
    but man, i spent 30 minutes searching for errors becuase i didnt added that -g2012 thing🤧🤧🤧

  • @sahilml3703
    @sahilml3703 8 месяцев назад

    I have a doubt. After the vvp command the next line is just blank nothing shows up what do i do?

  • @muhammadhamzashahid9649
    @muhammadhamzashahid9649 Год назад

    So much thanks Sir.

  • @huypixeltft6068
    @huypixeltft6068 2 месяца назад

    How to show schematic bro?

  • @YuchanKIM-jh6ws
    @YuchanKIM-jh6ws 2 месяца назад

    I installed ubuntu and desktop-ubuntu few minutes ago. But not working cmd 'gv'. Should I install other Lib for it?

    • @amentothatt
      @amentothatt  2 месяца назад +1

      gv is short for gvim. no you don't