#1 Introduction to VLSI || VLSI in Tamil

Поделиться
HTML-код
  • Опубликовано: 14 окт 2024

Комментарии • 41

  • @ashwinmancheryashwin6888
    @ashwinmancheryashwin6888 Год назад +5

    Mam your superb ... Nice explanation no one cares about electronic and give importance to IT core subjects but you make it. for that i will salute you mam...🎉

    • @vlsiforyou
      @vlsiforyou  Год назад +1

      Thanks for your support Learner!
      Please subscribe!!
      And, Keep supporting us!!!

  • @ragavideivavinotha7520
    @ragavideivavinotha7520 9 месяцев назад +2

    Clear explanation expect more videos like this regarding vlsi
    Next videos pls put what is the difference FPGA and asic design flow used in vlsi

    • @vlsiforyou
      @vlsiforyou  9 месяцев назад

      Thanks for your support! Sure everything will be covered in upcoming days. Please support and share with your friends

  • @ECEManjudeviM
    @ECEManjudeviM 7 месяцев назад +1

    Thank you mam its very helpful for me ❤

  • @sivarahuls3960
    @sivarahuls3960 Год назад +1

    romba nandri mam neenga verilog full ah potrukingala

    • @vlsiforyou
      @vlsiforyou  Год назад

      Thank you for your kind words! Inam niraya irukku!! Keep watching and keep learning!!!

  • @maranthuponamaruthuvaunmai6400
    @maranthuponamaruthuvaunmai6400 Год назад +1

    hi mam.. i would like to do phd in VLSI . how can i choose the topic in VLSI

    • @vlsiforyou
      @vlsiforyou  Год назад +2

      Hi, frankly I don't have idea about PhD. Here, I'm giving lectures and coding examples for the under graduate students, who all are willing to got place in core companies.

  • @ahamedasick8068
    @ahamedasick8068 Год назад +1

    Mam complete syllabus fulla coding related ah irukuma

    • @vlsiforyou
      @vlsiforyou  Год назад

      Hello, Recent regulation and syllabus pathi no idea. Intha channel la vara concepts ellam verilog and system verilog related. Ithellam therinjikitta technical interview clear panna romba useful ah irukkum. Also, if you placed in a company, and you have 2, 3 years of experience, trying to switch company. These are the concepts and questions you may get in interviews.

    • @ahamedasick8068
      @ahamedasick8068 Год назад +1

      Actually ASIC Concept ithum same ma mam

    • @vlsiforyou
      @vlsiforyou  Год назад

      ASIC and SOC ellam concepts. Verilog, system verilog ellam languages. Please don't get confused
      If any queries and doubts, feel free to contact us on Instagram
      instagram.com/vlsiforyou?igshid=MzRlODBiNWFlZA==

  • @sivarahuls3960
    @sivarahuls3960 Год назад +1

    ongaloda ella videos ah patha pothuma mam

    • @vlsiforyou
      @vlsiforyou  Год назад +1

      Ithu than basics. Concept and program oda explain panni irukom. Ithu ellam nalla learn panna pothum... Athukku neenga workout panni pakkanum. Concept ah ezhuthi vechikonga, coding run panni parunga. Ithu pothum!

  • @harshabhrito
    @harshabhrito Год назад +1

    Which playlist I should flow for vlsi design regulation 2017 anna university?

    • @vlsiforyou
      @vlsiforyou  Год назад +1

      These videos and playlists available now are for preparing for the interviews. These all are the basics which will be asked in interviews. We don't have any video for particular syllabus. That will be covered soon!

    • @harshabhrito
      @harshabhrito Год назад +1

      @@vlsiforyou ohh ok mam

    • @harshabhrito
      @harshabhrito Год назад +1

      @@vlsiforyou for placement you were doing these videos right.

    • @vlsiforyou
      @vlsiforyou  Год назад

      Yeah! Right

  • @-jeeva
    @-jeeva Год назад +1

    Thank You Mam 👑

  • @RAMKUMAR-bo7dv
    @RAMKUMAR-bo7dv Год назад +1

    Please teaching for system verilog

    • @vlsiforyou
      @vlsiforyou  Год назад

      Sure! Will be explained in detail in upcoming videos!!

  • @RAMKUMAR-bo7dv
    @RAMKUMAR-bo7dv Год назад +1

    Mam you know for system verilog

    • @vlsiforyou
      @vlsiforyou  Год назад

      Please refer this video
      ruclips.net/video/5cn-MsDevwA/видео.html
      If you have any doubts, comment or dm us on Instagram!

  • @KIRUTHIGAK.
    @KIRUTHIGAK. 3 месяца назад

    mam now in 2024 it is important to learn vhdl or verilog

  • @AarthiAarthi-zm5xt
    @AarthiAarthi-zm5xt 2 месяца назад

    Mam Ece la vlsi engeenering easya irukumaa illaa tough ahh mam

    • @vlsiforyou
      @vlsiforyou  2 месяца назад

      VLSI yum oru programming language mathiri than, nalla logic ezhutha therinja ellame easy thaan

    • @AarthiAarthi-zm5xt
      @AarthiAarthi-zm5xt 2 месяца назад

      @@vlsiforyou thankyou mam

    • @vlsiforyou
      @vlsiforyou  2 месяца назад

      You're welcome. Thanks for you support. Any doubts you can ping us in Instagram.

  • @harshabhrito
    @harshabhrito Год назад +1

    Mam vlsi 2017 engineering students kuda paka lam aa

    • @vlsiforyou
      @vlsiforyou  Год назад

      Yes, Sure

    • @harshabhrito
      @harshabhrito Год назад +1

      @@vlsiforyou could you explain stick diagram layout diagram and all mam

    • @vlsiforyou
      @vlsiforyou  Год назад

      Will be covered in upcoming videos!

    • @harshabhrito
      @harshabhrito Год назад +1

      @@vlsiforyou thank you mam

  • @basicneed007
    @basicneed007 Год назад +1

    Thanks lots

    • @vlsiforyou
      @vlsiforyou  Год назад

      Thank you Learner! Keep supporting us!!