VLSI For You
VLSI For You
  • Видео 91
  • Просмотров 84 440
#42 Scheduling Semantics in Verilog | Learn VLSI in Tamil
This video contains #schedulingsemantics in #verilog
Display Tasks
ruclips.net/video/Fpqj5RgQ1UA/видео.htmlsi=HVWdrAhGv5cj03Ra
Datatype Declaration and Module Initialization
ruclips.net/video/kt1ccIu7JHk/видео.htmlsi=4pnwOSv_ZnCxukN8
Timescale
ruclips.net/video/Ny8E7ESxI4s/видео.htmlsi=MCP3nPWUG9-Vl8vc
4:2 Priority Encoder
ruclips.net/video/6OdyptGTuzw/видео.html
#vlsi #vlsidesign #halfadder #fulladder #testbench #verilogcode #mux #multiplexer #encoder #fulladder #subtractor #fullsubtractor #ripplecarryadder #halfsubtractor #logicgates #module #carrylookaheadadder #verilog #systemverilog #uvm #vlsiprojects #vlsiforyou #v4u
Просмотров: 316

Видео

[UPDATED] Randomization in System Verilog | SV#20 | VLSI in Tamil
Просмотров 1323 месяца назад
Updated and reuploaded the video as older version has an issue in edit, This video contains #randomization in #systemverilog Encapsulation ruclips.net/video/uxzRmfBIBWo/видео.htmlsi=5ZR6nB7JSunVNgP- Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Virtual Concept ruclips.net/video/TeNunxE57EE/видео.htmlsi=yvdfnSAlJeOkBqfT Inheritance ruclips.net/video/cbyCOjq_gvc/видео.h...
Clocking Block - Interface Part 3 - System Verilog | SV#32 | VLSI in Tamil
Просмотров 2133 месяца назад
This video contains #interface in #systemverilog Modports - Interface Part 2 ruclips.net/video/c81dAcH-edI/видео.html Virtual Interface - Interface Part 1 ruclips.net/video/_x6Xc8-mcHE/видео.html Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jj...
Modports - Interface Part 2 - System Verilog | SV#31 | VLSI in Tamil
Просмотров 2254 месяца назад
This video contains #interface in #systemverilog Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Inheritance ruclips.net/video/cbyCOjq_gvc/видео.htmlsi=X59mnc...
Virtual Interface - Interface Part 1 - System Verilog | SV#30 | VLSI in Tamil
Просмотров 3455 месяцев назад
This video contains #interface in #systemverilog Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Inheritance ruclips.net/video/cbyCOjq_gvc/видео.htmlsi=X59mnc...
Interview Questions using Constraints | SV#29 | VLSI in Tamil
Просмотров 2355 месяцев назад
This video contains #interviewquestions using #constraints in #systemverilog Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Inheritance ruclips.net/video/cby...
Constraints - Bidirectional and Solve Before Concept | SV#28 | VLSI in Tamil
Просмотров 2717 месяцев назад
This video contains #bidirectional and #solvebefore in #constraints in #systemverilog 01:03 - Bidirectional Constraints 04:52 - Solve Before Constraints 08:40 - Interview Question 1 10:26 - Interview Question 2 11:04 - Interview Question 3 Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruc...
Constraints - Disable and Static Concept | SV#27 | VLSI in Tamil
Просмотров 2859 месяцев назад
This video contains #disable and #static in #constraints in #systemverilog 00:23 - Disable Constraints 05:11 - Static Constraints 09:10 - Interview Question 1 (For You) 11:11 - Interview Question 2 (Comment your answers ) 19:58 - Interview Question 3 (Comment your answers ) Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux77...
Constraints - Function and Inheritance Concepts | SV#26 | VLSI in Tamil
Просмотров 3229 месяцев назад
This video contains #function and #inheritance in #constraints in #systemverilog 11:11 - Interview Question 1 13:37 - Interview Question 2 17:05 - Interview Question 3 Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Polymorphism ru...
Constraints - Inline and Soft Concepts | SV#25 | VLSI in Tamil
Просмотров 2759 месяцев назад
This video contains #soft and #inline in #constraints in #systemverilog 5:24 - Interview Question Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Inheritance ...
Constraints - Unique and Distribution Concepts | SV#24 | VLSI in Tamil
Просмотров 3079 месяцев назад
This video contains #unique and #distribution in #constraints in #systemverilog 8:00 - Interview Question 1 9:38 - Interview Question 2 11:33 - Interview Question 3 (For You) Constraints - Foreach and Inside ruclips.net/video/LDHlbQ6IOh0/видео.html Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Polymorp...
Constraints - Foreach and Inside Concepts | SV#23 | VLSI in Tamil
Просмотров 38710 месяцев назад
This video contains #foreach and #inside #constraints in #systemverilog 5:53 - Interview Question 1 (Foreach) 9:15 - Interview Question 2 (Foreach) 9:30 - Interview Question 3 (Foreach) 12:35 - Interview Question 4 (Foreach) 17:30 - Interview Question 5 (Inside) 19:30 - Interview Question 6 (Inside) Constraints - The Basics ruclips.net/video/Zux7733Dg-M/видео.html Randomization ruclips.net/vide...
Loops and Loop Control Statements | SV#22 | VLSI in Tamil
Просмотров 32910 месяцев назад
This video contains #loops and #loopcontrolstatements in #systemverilog Static Array ruclips.net/video/Zkh7611MP1Y/видео.html Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Encapsulation ruclips.net/video/uxzRmfBIBWo/видео.htmlsi=5ZR6nB7JSunVNgP- Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Inheritance ruclips.net/video/cbyCOjq_gvc/видео.ht...
Constraints - The Basics | SV#21 | VLSI in Tamil
Просмотров 34610 месяцев назад
This video contains #constraints in #systemverilog Randomization ruclips.net/video/KeQtRDSlWRY/видео.htmlsi=A0jjpdWk2jNKwBlT Encapsulation ruclips.net/video/uxzRmfBIBWo/видео.htmlsi=5ZR6nB7JSunVNgP- Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Virtual Concept ruclips.net/video/TeNunxE57EE/видео.htmlsi=yvdfnSAlJeOkBqfT Inheritance ruclips.net/video/cbyCOjq_gvc/видео.h...
Randomization in System Verilog | SV#20 | VLSI in Tamil
Просмотров 50210 месяцев назад
This video contains #randomization in #systemverilog Encapsulation ruclips.net/video/uxzRmfBIBWo/видео.htmlsi=5ZR6nB7JSunVNgP- Polymorphism ruclips.net/video/Tqwi-SHeF9s/видео.htmlsi=V1tIPZdVEzkyQhnt Virtual Concept ruclips.net/video/TeNunxE57EE/видео.htmlsi=yvdfnSAlJeOkBqfT Inheritance ruclips.net/video/cbyCOjq_gvc/видео.htmlsi=X59mncQUIZQE1fLO Static Class in System Verilog ruclips.net/video/...
#41 How to Write Testbench in Verilog | Learn VLSI in Tamil
Просмотров 1,3 тыс.11 месяцев назад
#41 How to Write Testbench in Verilog | Learn VLSI in Tamil
VLSI Career Guidance | Interview Rounds | Salary Packages in Tamil
Просмотров 6 тыс.11 месяцев назад
VLSI Career Guidance | Interview Rounds | Salary Packages in Tamil
Class Part 10 - Constants and Typedef in Class | SV#19 | VLSI in Tamil
Просмотров 297Год назад
Class Part 10 - Constants and Typedef in Class | SV#19 | VLSI in Tamil
Class Part 9 - Parameterized Classes | SV#18 | VLSI in Tamil
Просмотров 383Год назад
Class Part 9 - Parameterized Classes | SV#18 | VLSI in Tamil
Class Part 8 - Extern Keyword & Scope Resolution Operator | SV#17 | VLSI in Tamil
Просмотров 459Год назад
Class Part 8 - Extern Keyword & Scope Resolution Operator | SV#17 | VLSI in Tamil
Class Part 7 - Encapsulation | SV#16| VLSI in Tamil
Просмотров 307Год назад
Class Part 7 - Encapsulation | SV#16| VLSI in Tamil
Class Part 6 - Polymorphism | SV#15 | VLSI in Tamil
Просмотров 483Год назад
Class Part 6 - Polymorphism | SV#15 | VLSI in Tamil
Class Part 5 - Virtual Concept | SV#14 | VLSI in Tamil
Просмотров 431Год назад
Class Part 5 - Virtual Concept | SV#14 | VLSI in Tamil
Class Part 4 - Shallow and Deep Copy | SV#13 | VLSI in Tamil
Просмотров 1,1 тыс.Год назад
Class Part 4 - Shallow and Deep Copy | SV#13 | VLSI in Tamil
Class Part 3 - Inheritance | SV#12 | VLSI in Tamil
Просмотров 525Год назад
Class Part 3 - Inheritance | SV#12 | VLSI in Tamil
Class Part 2 - Static Concept | SV#11 | VLSI in Tamil
Просмотров 625Год назад
Class Part 2 - Static Concept | SV#11 | VLSI in Tamil
Class Part 1 - The Basics | SV#10 | VLSI in Tamil
Просмотров 733Год назад
Class Part 1 - The Basics | SV#10 | VLSI in Tamil
Queue in System Verilog | SV#9 | Learn VLSI in Tamil
Просмотров 505Год назад
Queue in System Verilog | SV#9 | Learn VLSI in Tamil
#40 Function and Task in Verilog | Learn VLSI in Tamil
Просмотров 1,8 тыс.Год назад
#40 Function and Task in Verilog | Learn VLSI in Tamil
Associative Array in System Verilog | SV#8 | Learn VLSI in Tamil
Просмотров 715Год назад
Associative Array in System Verilog | SV#8 | Learn VLSI in Tamil

Комментарии

  • @PRAVEENM-xy3is
    @PRAVEENM-xy3is 4 дня назад

    Hlo . register counter ku video podunga . sis enaku knj clear a explain panringlaa

    • @vlsiforyou
      @vlsiforyou 4 дня назад

      Sure, will do in future

  • @ECVARNI
    @ECVARNI 17 дней назад

    Mam u didn't declare the variable i

    • @vlsiforyou
      @vlsiforyou 8 дней назад

      Already declared inside the for loop

  • @venkateshnagarajan7844
    @venkateshnagarajan7844 22 дня назад

    Super mam

  • @ammuluvengatesan2181
    @ammuluvengatesan2181 Месяц назад

    Mam which are you using mam please make how to download it

    • @vlsiforyou
      @vlsiforyou 23 дня назад

      Couldn't understand you question... Please explain

  • @PrashanthsVlog
    @PrashanthsVlog Месяц назад

    Mam apdiye sv concept assertion functional coverage request pannirunthe upload panna solli and uvm start panna effective ha irukum😊 always be thankfull mam

  • @PrashanthsVlog
    @PrashanthsVlog Месяц назад

    Always great lesson for beginners easy understanding mam please keep going like this✨

  • @dhaneshkumar4260
    @dhaneshkumar4260 Месяц назад

    Mam EC(advanced communication technology) course ku usefull aa erukkuma mim??

    • @vlsiforyou
      @vlsiforyou 23 дня назад

      If you're studing digital electronics or vlsi. Then it will be useful

  • @Vignesh_12604
    @Vignesh_12604 Месяц назад

    replication operator la bits um replicate aairuma n vachu or anything else

    • @vlsiforyou
      @vlsiforyou 22 дня назад

      Wire [3:0] a; Assign a = { 4 {1'b1 }} It won't increase the bit width. Only value will change.

  • @Jumptrix
    @Jumptrix Месяц назад

    Can you explain about dynamic casting ? what is the use case ? what if we failed to use it?

    • @vlsiforyou
      @vlsiforyou 23 дня назад

      I'll explain in separate video

  • @AarthiAarthi-zm5xt
    @AarthiAarthi-zm5xt Месяц назад

    Mam enakku be vlsi design syllabus anna university venum maam

    • @vlsiforyou
      @vlsiforyou 23 дня назад

      En kitta syllabus illa, I'm a trainer, not a college lecturer.

  • @gokul.s9932
    @gokul.s9932 Месяц назад

    @13:22 ..mam ninga tr2 ku object create panalaila...aprem epdi 2 value assign aagum.....as u said null pointer dereference error dhana varum?..can you pls explain me mam

    • @vlsiforyou
      @vlsiforyou 22 дня назад

      Yes, you're correct, tr2 ku object create pannala. But tr1 ah tr2 ku assign panni irukom (as highlighted in the code). Appo tr1 and tr2 um orey memory location ah than point pannanum. Hope you understand now! 👍

  • @PrashanthsVlog
    @PrashanthsVlog Месяц назад

    Waiting for more than 1 month for system verilog assertions topic

  • @AarthiAarthi-zm5xt
    @AarthiAarthi-zm5xt 2 месяца назад

    Mam Ece la vlsi engeenering easya irukumaa illaa tough ahh mam

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      VLSI yum oru programming language mathiri than, nalla logic ezhutha therinja ellame easy thaan

    • @AarthiAarthi-zm5xt
      @AarthiAarthi-zm5xt 2 месяца назад

      @@vlsiforyou thankyou mam

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      You're welcome. Thanks for you support. Any doubts you can ping us in Instagram.

  • @prathibaprathiba7330
    @prathibaprathiba7330 2 месяца назад

    Private intitute irukka

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      Many are there... But be aware of the fake and fraud institutions.... Before spending money, go directly to the institute and check. Talk with the students studying there. Don't waste your money 👍

  • @PrashanthsVlog
    @PrashanthsVlog 2 месяца назад

    Mam still waiting for assertion and functional coverage konjo sikro potingana romba useful ha irukum😊

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      Coverage and assertion ku munnadi 2 video podanum, athukku oru flow la poitu irukku. Antha 2 videos preparation pannitu iruken. Athukku aprm start pannituven.

    • @PrashanthsVlog
      @PrashanthsVlog 2 месяца назад

      @@vlsiforyou ohhh okay mam nandrii , worth to wait😊

  • @Ilaa30
    @Ilaa30 2 месяца назад

    Pls post uvm videos also mam

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      Sure! Will be post once functional coverage and assertion completed

  • @k7drawings460
    @k7drawings460 2 месяца назад

    Mam program run pannurathuku compiler pathi solluga. Please mam.

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      Eda playground use pannunga

  • @PrashanthsVlog
    @PrashanthsVlog 2 месяца назад

    Eagerly waiting for assertion and functional coverage video mam, please koncham sikramave upload pannunga 😊

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      Sure!!! As many people request. Next athu than plan panni iruken.

  • @PrashanthsVlog
    @PrashanthsVlog 2 месяца назад

    System verilog assertion video please

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      Sure!!! Next athu than plan panni iruken.

    • @PrashanthsVlog
      @PrashanthsVlog 2 месяца назад

      @@vlsiforyou nandri mam ☺️

  • @apvivek5368
    @apvivek5368 2 месяца назад

    Mam, Ethavathu Certification Course Teach Panringala ?

    • @vlsiforyou
      @vlsiforyou 2 месяца назад

      Certification course lam pannala. Ping me in insta, if you have any doubts

  • @PrashanthsVlog
    @PrashanthsVlog 2 месяца назад

    Instead of using unique can we use rand c also to generate unique value so that if we r using rand c means we wont get repeated values

  • @RAHULVECE
    @RAHULVECE 3 месяца назад

    MAM , BACKEND VLSI PLEASE TELL ABOUT IT AND TUTORIALS TOO.

    • @vlsiforyou
      @vlsiforyou 3 месяца назад

      Mostly I worked in front end only

    • @RAHULVECE
      @RAHULVECE 3 месяца назад

      @@vlsiforyou mam which is best frontend or backend in basis of package?

    • @vlsiforyou
      @vlsiforyou 3 месяца назад

      @RAHULVECE both are best in terms in package.

  • @PrashanthsVlog
    @PrashanthsVlog 3 месяца назад

    Thank you for your constituency uploading videos about sv mam nandriiii..... Mam please system verilog assertion and functional coverage video please

    • @vlsiforyou
      @vlsiforyou 3 месяца назад

      Sure! Will be covered

  • @vlsiforyou
    @vlsiforyou 3 месяца назад

    As a subscriber noticed an issue in this video, and pinged us in Instagram. we have Updated Reuploaded it. ruclips.net/video/Z9QuOgc3WPk/видео.html Please use this video for better understanding. Thank you!!!

  • @sowndhar.n
    @sowndhar.n 3 месяца назад

    Mam,i am a mechanical engineer 2022 passed out,no experience,naan epdi pcb design and vlsi design department la varuvathu maam?

    • @vlsiforyou
      @vlsiforyou 3 месяца назад

      Neenga learn pannalam, but some companies will expect ece or eee or e&i stream

  • @gowshik_21
    @gowshik_21 3 месяца назад

    Mam na vlsi and ece department pathi unga kita pesanum. Intha year na clg join pananum.ece choose panalamnu irukan. So konjam doubt iruku.

    • @vlsiforyou
      @vlsiforyou 3 месяца назад

      Ping me in instagram

  • @deadshotgamingtamil9919
    @deadshotgamingtamil9919 3 месяца назад

    Weekly 2 to 5 videos podunga mam.. Nala iruku unga video.. Super mam🔥... But ninga monthly once podringa.. Konjam neraya podunga mam

    • @vlsiforyou
      @vlsiforyou 3 месяца назад

      Will try to upload every week.

    • @deadshotgamingtamil9919
      @deadshotgamingtamil9919 3 месяца назад

      @@vlsiforyou ok mam🔥thank u mam.. Every week 2 to 3 videos nathu upload panunga.. Enga college la placement la ethumee solli thara matranga.. Itha pathuthsn place aagunum🙏🙏🙏🙏

  • @deadshotgamingtamil9919
    @deadshotgamingtamil9919 3 месяца назад

    Mam inum video podunga mam🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏i will see ur video

  • @MsRajesh456
    @MsRajesh456 3 месяца назад

    2^16 😂 is not 256 right. 2 ^8 is only 256🎉❤

  • @KIRUTHIGAK.
    @KIRUTHIGAK. 3 месяца назад

    mam now in 2024 it is important to learn vhdl or verilog

  • @mercyabida6515
    @mercyabida6515 3 месяца назад

    ❤🎉

  • @mercyabida6515
    @mercyabida6515 3 месяца назад

    ❤🎉

  • @mercyabida6515
    @mercyabida6515 3 месяца назад

    ❤🎉

  • @mercyabida6515
    @mercyabida6515 3 месяца назад

    ❤🎉

  • @mercyabida6515
    @mercyabida6515 3 месяца назад

    😂😂😂😂 .*🎉❤

  • @mercyabida6515
    @mercyabida6515 3 месяца назад

    😂❤🎉

  • @vennilas9893
    @vennilas9893 3 месяца назад

    Great explanation. Please post UVM videos, it would be very helpful mam

    • @vlsiforyou
      @vlsiforyou 3 месяца назад

      Sure! Thanks for you support

  • @haryneevs3995
    @haryneevs3995 4 месяца назад

    module carry_look_ahead_4bit_tb; reg [3:0] a,b; reg cin; wire [3:0] sum; wire cout; carry_look_ahead_4bit dut(.a(a), .b(b),.cin(cin),.sum(sum),.cout(cout)); initial begin $dumpfile("dump.vcd"); $dumpvars(1); a=0; b=0; cin=0; #10 a=4; b=2; cin=0; #10 a=7; b=5; cin=0; #10 a=3; b=5; cin=1; #20 $finish; end initial $monitor( "A=%d, B=%d, cin= %d, sum=%d, cout=%d,A=%b, B=%b, cin= %b, sum=%b, cout=%b", a,b,cin,sum,cout,a,b,cin,sum,cout); endmodule this is the testbench I have a doubt that can I assume any values for a,b,cin

    • @haryneevs3995
      @haryneevs3995 4 месяца назад

      can i write like this is this correct

    • @vlsiforyou
      @vlsiforyou 4 месяца назад

      Any value you can give to a, b, c inputs

    • @haryneevs3995
      @haryneevs3995 4 месяца назад

      Ok mam

  • @meathamaganathan7250
    @meathamaganathan7250 4 месяца назад

    Mam na epa than b.e electrical engineering la vlsi eduthurkan enaku syllabus enna nu theriyala aprm enna la engineering exam lam attend panna mudiyuma pls rly mam

    • @vlsiforyou
      @vlsiforyou 4 месяца назад

      Syllabus pathi enakku therila, unga regulation ku search panna kidaikkum mostly. Illana unga professor kitta kelunga

  • @Saiprashanth-sf7bf
    @Saiprashanth-sf7bf 4 месяца назад

    Please upload how to write a Test bench in system verilog

  • @vijinjoe
    @vijinjoe 4 месяца назад

    🙏

  • @vijaymosco2832
    @vijaymosco2832 4 месяца назад

    Very useful Mam....🤝🏻

    • @vlsiforyou
      @vlsiforyou 4 месяца назад

      Thanks for your support

  • @sangeetha-nu6vp
    @sangeetha-nu6vp 4 месяца назад

    Akka pls continuous ah video upload pannuga. Romba helpfull ah iruku. UVM concept quick ah podunga❤😊

    • @vlsiforyou
      @vlsiforyou 4 месяца назад

      Kandippa, concept ready panni, prepare panni video poda time edukuthu. Sure ah uvm cover pannituven

  • @Saiprashanth-sf7bf
    @Saiprashanth-sf7bf 4 месяца назад

    please upload more constraint interview questions like this mam ,your work is so much great. now i able to understand the constraint mam thank you so much

    • @vlsiforyou
      @vlsiforyou 4 месяца назад

      Thanks for your support! Most of the constrain interview questions are covered. We have uploaded constrains videos ( from SV21). In those videos, we covered constraint interview questions also. Please take a look 👍

  • @arunkumarmarimuthu1405
    @arunkumarmarimuthu1405 4 месяца назад

    Please upload functional coverage

    • @vlsiforyou
      @vlsiforyou 4 месяца назад

      Sure, will be covered after interface

  • @hariharankrish6323
    @hariharankrish6323 4 месяца назад

    Dollar display and dollar monitor ku difference sollunga sister Tamil la....simulation result la ena changes nadakum nu sollunga

    • @vlsiforyou
      @vlsiforyou 4 месяца назад

      Refer #14 display tasks in verilog, I have explained detailedly

  • @_VISHNUPRIYAK-hc5si
    @_VISHNUPRIYAK-hc5si 5 месяцев назад

    Mam..pls.give some guidance about available open source software for vlsi project.

    • @vlsiforyou
      @vlsiforyou 5 месяцев назад

      For experience, you can use EDA Playground.

  • @sumkrisheditz
    @sumkrisheditz 5 месяцев назад

    8:58 Two methods I have mam, please review it 1. val[i] = fact ((( i + 1 ) * 2 ) - 1 ) 2. val[i] = fact ( i + ( i + 1 ) )

    • @vlsiforyou
      @vlsiforyou 5 месяцев назад

      Yes, both are correct.

  • @sumkrisheditz
    @sumkrisheditz 5 месяцев назад

    Hi Mam. If we do right shift will it generate only the One's? constraint c1 { data == 1 >> shift ; }

    • @vlsiforyou
      @vlsiforyou 5 месяцев назад

      No, we will get 0 for right shift

    • @sumkrisheditz
      @sumkrisheditz 5 месяцев назад

      Ohh Okay.

  • @selvaraj.ca143
    @selvaraj.ca143 5 месяцев назад

    Please we need a regular videos ,atleast 4 videos in a week mam and pls post videos in Interprocess Communication SystemVerilog Program Block SystemVerilog Clocking

    • @vlsiforyou
      @vlsiforyou 5 месяцев назад

      Thanks for your support. I'll try to do it. It's taking more time to prepare, workout, record, edit and upload the video. I am trying to give my best of knowledge. I hope you all understand us.

    • @selvaraj.ca143
      @selvaraj.ca143 5 месяцев назад

      I'm grateful for your work mam,ur the one and only source to learn SV and Verilog In tamil with Great understanding mam.Thank you so much mam .Keep doing it mam .