Semiconductor Packaging - ASSEMBLY PROCESS FLOW

Поделиться
HTML-код
  • Опубликовано: 16 июн 2024
  • This is a learning video about semiconductor packaging process flow. This is a good starting point for beginners. - Watch Learn 'N Play
    UPDATE 8/15/2022: FIXED ENGLISH SUBTITLE. PLEASE USE SUBTITLE IF YOU CANNOT UNDERSTAND MY ACCENT (PARDON MY ACCENT AND MY GRAMMAR TOO :) )
  • ХоббиХобби

Комментарии • 52

  • @KevinMccable
    @KevinMccable 7 месяцев назад +4

    Thank you very much for making impressive video. This is absolutely perfect video due to you also add up real processing video including illustrator. I work for semiconductor industry for 16yrs still surprise the way you make video presentation. Please keep going.

  • @wenelleho8907
    @wenelleho8907 Год назад +18

    Really informative , precise, detailed video… really helpful for those who have no clue about semiconductor packaging. It is very good that you explained all those steps in lay-man terms and not the fancy jargon … Thank you so much .. I will be looking forward to Part 2!

  • @ragytag
    @ragytag Год назад +1

    This is amazing! Keep the great videos coming.

  • @superboard2930
    @superboard2930 Год назад +1

    Very impressdive. easy to understand for beginners. I learnt a lot from this video. Thank you.

  • @shauncesar108
    @shauncesar108 11 месяцев назад

    this is an EXCELLENT video! thank you very much!

  • @hiepchu6028
    @hiepchu6028 2 дня назад

    So helpful I hope you can continue making videos

  • @danielmercado8871
    @danielmercado8871 Год назад +1

    Thank you for the very informative video sir! We hope you continue to share more of your knowledge po :D Test Engineer here

  • @actandrepeat
    @actandrepeat Год назад +1

    I study computer science and this was very useful for my computer network architecture class

  • @sebastianocataudo8785
    @sebastianocataudo8785 Год назад +2

    Very very good video! Thank you!

  • @dhanturp8320
    @dhanturp8320 Год назад +2

    This video is very informative. Thanks for doing this.

  • @vlsigk8561
    @vlsigk8561 Год назад +2

    Very informative video good work

  • @obensustam3574
    @obensustam3574 Год назад

    Great video man!!!! Thank you so much ❤

  • @dennisbocalbos5973
    @dennisbocalbos5973 Год назад +4

    very informative yan Sir LGALE......one of most respective Equipment Engineer during our Semicon time...i really miss it!!!......keep it up!!!😀

    • @watchlearnnplay
      @watchlearnnplay  Год назад +2

      DBOCA thanks for the positive comment. After 30 years I thought it is time to share the knowledge and experience that I think will be helpful for beginners in the industry and even for those just graduating from college / university. I miss it too! Watch out for more upcoming videos

  • @cmanish93
    @cmanish93 Год назад +1

    Great content !

  • @wayunoi
    @wayunoi Год назад

    your video sharing is very informative. Thanks for provided

  • @b.c8421
    @b.c8421 9 месяцев назад

    this is really great video and great explanation. maybe it is worth to compare or to explain the new "panel-level-packaging". seems to be new packaging technology. would be great to learn more about it.

  • @HemangJoshi
    @HemangJoshi Год назад +2

    Very good video sir...👍👍👍

  • @alotan2acs
    @alotan2acs Год назад +1

    Excellent! Very detailed. Fantastic info; can't find this elsewhere. Bravo pal.

  • @Nurtastube
    @Nurtastube 7 месяцев назад

    Great video! 👍

  • @user-vo3fg8sy2i
    @user-vo3fg8sy2i 8 месяцев назад

    I had work with the position Process Engineer of Wire Bonding stage for one year. I miss KNS Maxum +, Iconn machine.

  • @yazanalzaidi2279
    @yazanalzaidi2279 3 месяца назад

    Very informative, thank you

  • @tpnch3933
    @tpnch3933 3 месяца назад

    Thanks!

  • @KarthiK-tp8pq
    @KarthiK-tp8pq 6 месяцев назад

    Thank you for making detailed video. Is DIE attached with wafer tape?

  • @naveenkadkol9731
    @naveenkadkol9731 10 месяцев назад

    Very informative 👍. Thank you. One request, can you please cover the process of Wafer manufacturing

  • @trailrunning11vlog
    @trailrunning11vlog Год назад

    Galing pre

  • @d-nguyen5620
    @d-nguyen5620 10 месяцев назад

    thank you for sharing

  • @MrHardgabi
    @MrHardgabi Год назад

    amazing

  • @jame2237
    @jame2237 2 месяца назад

    this is great.

  • @cadcaetutorial2039
    @cadcaetutorial2039 Год назад +2

    Weldon sir

  • @cryptoeagle3679
    @cryptoeagle3679 Год назад +1

    Is this somewhat the process at the Intel back end semiconductor factory?

  • @paulweston8184
    @paulweston8184 7 месяцев назад

    I'm glad I watched this *after* I delidded my CPU to apply new TIC to the die. I would've felt like I was diffusing a bomb.

  • @freethinker585
    @freethinker585 Год назад

    awesome ilustration, thanks for sharing. the next video plz explain about how lithograpy work.

  • @chiahsiangliao2901
    @chiahsiangliao2901 Год назад

    learn a lot about process flow .

  • @royaumedelame3947
    @royaumedelame3947 Год назад

    slay, ur accent is fine :)

  • @user-dr8ul1zt4w
    @user-dr8ul1zt4w 11 месяцев назад

    Hi, your slide and explanation are really good. Do you mind to share your slide?

  • @Tyco072
    @Tyco072 Год назад +1

    Hi! Fantastic video! It is the most detailed video existing. But I don't understand how dies of extremely small size, few tenths of millimeters, like the dies of small transistors like the BC337, can be sawed and separated from the plastic film, without the get pushed away. And how they can be picked up to be transported on the final package. Please could you explain me it, or make a next video for it?

    • @watchlearnnplay
      @watchlearnnplay  Год назад

      Thanks for the comment. The wafer saw video I posted will give better understanding of the sawing process. I will also create a more detailed video related to die attach process.

    • @Tyco072
      @Tyco072 Год назад

      @@watchlearnnplay Thank you for your reply! Yes I watched also the video about the wafer sawing. It's very good too! but the dies in the video are big. I can figure how it may work with large dies, but with very small dies, few tenths of millimetres large, it is all different to handle, I guess. Then I am looking forward to watch your video about the die attach! :)
      Also concerning large dies, how are they exactly picked up from the wafer, without being damaged? As far I know, nothing should touch the upper surface of a diffused and finished chip, otherwise the material, the structures and tracks would be damaged and the chip would be unusable. Or not? With sub-millimetre dies it is even more difficult. Thank you again for your great videos. Do you work in a semiconductor factory?

  • @camiemengineer
    @camiemengineer Год назад

    GOOD VIDEO EXCELLENT EVEN ... EXCEPT Why didn't you include the full RUclips video links in the description?

    • @watchlearnnplay
      @watchlearnnplay  Год назад

      Thanks for the feedback. I intentionally did not include the links in the description as I want the focus to be on the learning video. I mentioned the reference video mainly o give credit and respect to the original creator.

  • @gizachewdiga7648
    @gizachewdiga7648 3 месяца назад +1

    The best illustration Semiconductors wafer, chips and devices such as transisors. I hope this discussion be extended to Field effects transistors such as MOSFETS, POSFETS, and Biosensors.

  • @jonrenielnorca9221
    @jonrenielnorca9221 3 месяца назад

    I watched this on my phone while my teacher is presenting this

    • @watchlearnnplay
      @watchlearnnplay  3 месяца назад

      Happy to know that my video is useful for teaching / learning.

  • @madku8682
    @madku8682 Год назад +2

    Is this a filipino channel? if so, salamat sa kaalaman kabayan. new test engineer po ako.

  • @thangvu7000
    @thangvu7000 11 месяцев назад

    Thanks you for useful video, if you have powerpoint file please share to me, I’m really grateful!

  • @tomatogroup1618
    @tomatogroup1618 Год назад

    Semiconductor project

  • @bapakpamudji4186
    @bapakpamudji4186 2 месяца назад

    Bapak pamudjiid

  • @harishkumarmadapathi1554
    @harishkumarmadapathi1554 8 месяцев назад

    Please provide information regarding micro bump and hybrid bonding in 3D ICs