Physical Design Flow | PnR flow | RTL-to-GDSII flow | Back End Flow | Innovus tool flow

Поделиться
HTML-код
  • Опубликовано: 12 сен 2024
  • This is the session-8 of RTL-to-GDSII flow series of video tutorial. In this session, we will see the flow of Place and route (PnR)stages in very interesting way. First we will see the requirements of PnR tools (Innovus), set of input and output files and then we will move to the flow. Physical design has various stages of design and lots of validation to after each stages. A basic flow has been semonstrated here.
    In this RTL-to-GDSII flow of video series, there are total 10 sessions. We have covered all the stages of ASIC design using EDA tools demonstration and also the basic theories. Part-wise descriptions of the different session and the link of videos are as follow.
    1. Session-1: Overview of RTL to GDSII flow | Basic terms in the flow
    Video link: • RTL to GDSII flow | Ba...
    2. Session-2: Flow in EDA tool's perspective | Different EDA tools | various files
    Video link: • ASIC Flow and EDA tool...
    3. Session-3: Functional verification of RTL | using Synopsys VCS | VCS demo
    Video link: • RTL Design & Simulatio...
    4. Session-4: Logic Synthesis flow | RTL to gate-level netlist | Design compiler
    Video link: • Logic Synthesis flow |...
    5. Session-5: Logic Synthesis | Design Compiler | Command-line | gate level netlist
    Video link: • Logic Synthesis of RTL...
    6. Session-6: Logic Synthesis | Design Compiler | GUI Mode| design_vision
    Video link: • Logic Synthesis in Des...
    7. Session-7: Logic Equivalence Check using Formality |S8| RTL-to-GDSII flow | Formality tutorial
    Video link: • Logic Equivalence Chec...
    8. Session-8: Physical Design Flow | PnR flow |RTL-to-GDSII flow | innovus flow
    Video link: • Physical Design Flow |...
    9. Session-9: Design Import | Physical Design |RTL-to-GDSII flow | innovus tools tutorial
    Video link: • Design Import | Cadenc...
    10.Session-10: Place and Route in Cadence Innovus | full PnR flow | Cadence Innovus demo
    Video link: • Place and Route in Cad...
    ====Connect with us==========================
    All on one page: www.teamvlsi.c...
    Blog: www.teamvlsi.com
    Facebook Page: / teamvlsi
    WhatsApp Group: chat.whatsapp....
    Telegram Group: t.me/teamvlsi (Or search team VLSI on telegram)
    Email: teamvlsi2014@gmail.com
    ==============================
    #BackEndFlow #PnRFlow #InnovusFlow

Комментарии • 8