Mehmet Burak Aykenar ile Dijital Tasarım / FPGA Programlama Sohbeti

Поделиться
HTML-код
  • Опубликовано: 29 сен 2024
  • Mehmet Burak Aykena ile Dijital Tasarım ve FPGA programlama üzerine güzel bir sohbet gerçekleştirdik.
    Mehmet Burak AYKENAR:
    RUclips Kanalı: / @mehmetburakaykenar
    Linkedin: / mehmet-burak-aykenar-7...
    FPGA firmaları ve tasarım geliştirme araçları, bunların ücretsiz versiyonları mevcut
    - Xilinx (Vivado Webpack Edition) www.xilinx.com...
    - Intel (Quartus Prime Lite Edition) www.intel.com/...
    - Microchip (Libero Silver Version) www.microsemi....
    - Lattice (Diamond Free Version) www.latticesemi...
    - GOWIN (GOWIN EDA, Çin FPGA'sı) www.gowinsemi....
    Kitap önerisi:
    FPGA prototyping by VHDL examples - Pong Chu
    RTL Hardware Design Using VHDL - pong Chu
    Prof. Dr. Erdal Arıkan'ın şerefine Huawei firmasında düzenlenen tören: • Huawei Founder Ren Zhe...
    Daha önce gerçekleştirdiğim online sohbetleri izlemek için: • Caner Alp ile Donanım ...
    Bu yayını podcast olarak dinleyebilirsiniz.
    anchor.fm/cosk...
    Kanala abone olmayı unutmayın lütfen.
    Web: www.coskuntasde...
    Instagram: / ctasdemir
    Twitter: / ctasdemir

Комментарии • 49

  • @mehmetburakaykenar
    @mehmetburakaykenar 3 года назад +45

    amma konuşmuş bu Burak denen adam. bıraksalar sabaha kadar konuşacak, insan bı nefes alır :)

  • @emretan34
    @emretan34 3 года назад

    5.50 hâlâ aynı merak etmeyin

    • @mehmetburakaykenar
      @mehmetburakaykenar 3 года назад

      yok artık :l hala mı şematik tasarım

    • @emretan34
      @emretan34 3 года назад

      @@mehmetburakaykenar Şematik kullanma var lab derslerinde ama FPGA de kullanılıyor. Ben öğretmedikleri için Digital labında kullanmadım Verilog ve FPGA. Sonra kendim öğrendim. Architecture dersinde de Alteranın ufak FPGA’i var, döküman okuyup, kendin öğrenip kullanıyorsun. 5.50’de söylediğiniz öğretmeden bilmenizi bekliyorlar kısmına aynı dedim asıl:)

    • @mehmetburakaykenar
      @mehmetburakaykenar 3 года назад

      @@emretan34 en azından architecture dersinde FPGA olması iyi olmuş, biz asenkron digital tasarım gördük bir dönem boyunca, labda da 74 kodlu entegreleri kullandık :l

    • @emretan34
      @emretan34 3 года назад +3

      @@mehmetburakaykenar Bir asistan da benzer bişey söylemişti. Ben şikayet etmiştim FPGA portlarına kablo bağlarken. O da siz çok şanslısınız biz entegrelerdeki gateleri bağlıyorduk kablo ile, 200-300 kablo oluyordu demişti.

  • @LoannaFaskioti
    @LoannaFaskioti 6 месяцев назад

    Bilale anlatır gibi anlatin anlamiyom 🤦🙆

  • @MrCelalOzcelik
    @MrCelalOzcelik 3 года назад +5

    Emeklerinize sağlık. Çok faydalı fikirler katıyorsunuz.

  • @whosayin06
    @whosayin06 2 года назад +4

    '' Ortalamanız 2.70 ve üzeri değilse değerlendirilmeye alınmıyorsunuz bile Aselsan'da. '' Aselsan bizi kaybeder hocam biz gene çalışmaya devam edeceğiz. Üreten ve kalkınan bir Türkiye için, Allah şevkimizi ve gayretimizi arttırsın arkadaşlar.

    • @timecomments
      @timecomments 6 месяцев назад

      Not önemli değil deyip sonra not not demek çok saçma. Kişinin yeteneği nere gidiyor.

    • @whosayin06
      @whosayin06 6 месяцев назад

      mezun olabilsek için yeterli seviyede not not@@timecomments

    • @tarafsizDusunur
      @tarafsizDusunur 2 месяца назад

      Bir yandan üzücü bir ön eleme olsada ne yazık ki firmalar için kaçınılmaz, güvenli bir filtreleme yöntemi. İş yoğunluğu ve işin gerektirdiği tecrübe ciddi boyutta, haliyle en yeni başlayan yeni mezunun bile gerçek sahadaki iş dinamikleri, pratik çözüm eksikliğine rağmen ödenmesi gereken maaşlar başlangıçta bile ciddi düzeyde yüksek olmak zorunda.( Tabi bu maaşlar Ab, Abd, Asyanın dev şirketlerinin yanında dolar bazında hiçbir şey)
      Bugün Aselsan 2.7 altı mezuniyet puanı ile kabul etmeye başlasa yarın puan, sıralama olarak 30. Üniversiteden mezun benim puanım 3.5 beni niye almıyorsun diyecek.
      Onu da alsa bu sefer benim gibi lisede minimum elektrik, elektronik, yazılım eğitimi almış ama benim aksime sektörde kendini geliştirmiş alaylı insanlar bizi de alın diyecek. İstisnalar elbetteki vardır ama bu sefer Aselsan matematik, fizik konusunda teorik, kültleşmiş konularda ciddi bilgi eksikliğine sahip insanlar ile alan, saha tecrübesi var diye iş yapmaya çalışırsa o matematik, fizik, geometri külliyatı olmadığı için pratikte matematik, geometri, fizik biliminin sözel veya yazılım dilinde nimetlerinden senaryo senaryo bilgi sahibi insanlar ile hadi matematik, geometri, fizik kuralları çerçevesinde şöyle yeni bir çözüme ihtiyacımız var dediklerinde o çalışanlara matematik, geometri, fizik külliyatı öğretmek gerekecek.
      Bizde sanayide el işçiliği elektronik, hatta bilgisayar ekipmanlarında dahi ince işçilik, tamir, modifiye yapan inanılmaz yetenekli insanlar var. Adam pratik bilgi, uygulama da bir çok mühendisi, teknisyene oha dedirtir fakat aynı o inanılmaz usta 2 saat sonra sürtünme, termodinamik bilmediği için yoktan var edercesine enerji tasarrufu, yöntemi üzerine yorumunu söyleyince insan anlıyor abi usta işçi, teknisyen ayrı bir uzmanlık teorik külliyatı yemiş yutmuş mühendis ayrı bir uzmanlık😂

  •  3 года назад +5

    Veri modern dünyanın petrolüdür.

  • @veyselaksoy8507
    @veyselaksoy8507 3 года назад +1

    Maşallah, hiç beğenmeme yok. Böyle güzel videolar biz mühendis adaylar için çok iyi. Ellerinize ve ağzınıza sağlık.

  • @pusatberk4193
    @pusatberk4193 3 года назад +2

    Güzel adamlar güzel sohbet.

  • @aknsagdic9388
    @aknsagdic9388 Год назад

    Çok faydalı bir sohbet olmuş üstad. Emeğinize sağlık.

  • @PflydKeles
    @PflydKeles 3 месяца назад

    34:33

    • @coskuntasdemirYoutube
      @coskuntasdemirYoutube  3 месяца назад

      Neden bu bölümü işaretledin, yorumunu paylaşırsan başkaları da faydalanabilir

  • @ilyassargul1080
    @ilyassargul1080 3 года назад

    Coşkun hocam, Tübitak YİTAL 0.25um teknolojisine sahip.

  • @mrlajel
    @mrlajel 3 года назад +1

    please put English subtitles. It seems interesting.

  • @intelligentengineer3725
    @intelligentengineer3725 3 года назад

    Okullarda güncel bireğitim yok ki halen eski tip ders notları dinamik bir ders veren hoca çok çok az yani insan kendisi bir alan seçip çalışmalı kendini geliştirmeli

  • @batuhanozturk7122
    @batuhanozturk7122 3 года назад

    allahtan uzak bir zaman olmadan yakaladım. Çok değerli iki adam önemli şeyler konuşmuş Teşekkür ederim kendi adıma

  • @SuleymanUYKAN
    @SuleymanUYKAN 3 года назад

    Çok güzel bir programdı. Faydalı oldu.

  • @muratokumus8900
    @muratokumus8900 3 года назад +3

    FPGA öğrenen öğrenci zengindir net.

    • @coskuntasdemirYoutube
      @coskuntasdemirYoutube  3 года назад +2

      Aslında söyleşi içinde ücretsiz olarak kullanılabilen simülasyon ortamlarından bahsettik. Açıklamalar kısmında da görebilirsin.

  • @nejlatasdemir8235
    @nejlatasdemir8235 3 года назад +1

    🤲

  • @sefty543
    @sefty543 3 года назад

    Tebrikler , güzel bir sohbetti...

  • @mehmetarifartan5633
    @mehmetarifartan5633 Год назад

    Teşekkürler

  • @alpcnar5877
    @alpcnar5877 3 года назад +1

    43:20 caatsayla bir alakası var mı?

    • @alpcnar5877
      @alpcnar5877 3 года назад

      1:04:00 da açıklıyor...

    • @LoannaFaskioti
      @LoannaFaskioti 6 месяцев назад

      ​@@alpcnar58772024 den bildiriyorum Tayyip Erdoğan isvece NATO üyeliğine onay verdi ve caatsaydan ambargo kalktı 🤣🤣

    • @LoannaFaskioti
      @LoannaFaskioti 6 месяцев назад

      Evet ambargo kalktı

  • @sarperarslan3085
    @sarperarslan3085 3 года назад

    Çok teşekkürler

  • @ysfherdem
    @ysfherdem 3 года назад +2

    Her sessizlikte bozmak için "yaniii tabi" denmesi gerektiğini anladım teşekkürler :) Bu arada çok güzel bir yayındı..

    • @coskuntasdemirYoutube
      @coskuntasdemirYoutube  3 года назад +1

      Farketmemişim, çok mu demişiz öyle?

    • @ysfherdem
      @ysfherdem 3 года назад +1

      @@coskuntasdemirRUclips Aslında çok fazla değil sadece yayında dikkatimi çekti :)

  • @dogukanbicer4228
    @dogukanbicer4228 3 года назад

    iyi mühendis bir olabilmek için yüksek not ortalaması şart mı?

    • @furkanozcan6124
      @furkanozcan6124 3 года назад

      İyi bir mühendis tanımı iddialı, kişi üzerinde işaret etmesi de zor bi tanım bence ama işini iyi yapan, alanında uzman, kendi idealinde iyi maaş alan bir mühendis olmak için şart olduğunu düşünmüyorum. Birkaç kriterden biri ortalama, biri kullandığın teknolojiler, biri problem çözme becerilerin hatta biri iş ahlakın gibi gibi. Diğer maddelerde iyiysen çok iyi ortalaman olmasa da oluyor (kendi gözlemlerim ve duyumlarımca)

    • @coskuntasdemirYoutube
      @coskuntasdemirYoutube  3 года назад +4

      İlla şart değil belki ama olsa fena mı olur :)

    • @furkanozcan6124
      @furkanozcan6124 3 года назад

      @@coskuntasdemirRUclips ahh Hocam yükseltmek için neler çekiyorum Bi bilseniz

  • @yldraysabanc7908
    @yldraysabanc7908 2 года назад

    hocam hacettepede muhendıslık okuyorum gecımımı arıcılık yaparak kazanıyorum okul harcamalarımı bu yolla karsılıyorum aynı zamanda gomulu sıstemler calısıyorum teknofest ıcın sabıt kanata autoplot yazılımı ıcın son zamanlarda fpga konularını arasıtırıyorum bızde kovan ımalatıda var sız bana fpga ogretırsenız bende sıze arıcılık ogretırım win win yontemiyle bu isi halledebiliriz hocam ;))))))))
    emeginize saglik cok guzel bir video olmus her ikinize de tesekkur ederim aktardiginiz bilgilerden dolayi .

    • @mehmetburakaykenar
      @mehmetburakaykenar 2 года назад +1

      bu sene almadım arı, çok vakit ayırmak gerekiyor, nasipse ilerde inşallah, şimdilik ağaç diktim bahçeye onlarla ilgilenmesi daha kolay :)

    • @timecomments
      @timecomments 6 месяцев назад

      Arm derleyicisi üzerine çalışamazmısınız.?