tutorial contador en VHDL

Поделиться
HTML-код
  • Опубликовано: 11 окт 2024
  • En este videotutorial se muestra como hacer una contador de 0 a 9 en VHDL.

Комментарии • 13

  • @Angel-Patoo
    @Angel-Patoo 3 года назад

    Muy bien explicado bro, me ayudo bastante, eres grande

  • @yenimariregaladojuarez5310
    @yenimariregaladojuarez5310 2 года назад

    Disculpa, ¿por que en la última línea del behavioral me marca un error,? si se supone que es el que manda el mismo programa

  • @brianbarreto2978
    @brianbarreto2978 8 лет назад +1

    amigo buen video... trate de montarlo con una coolrunner 2 y al llegar a la parte de la simulacion no me aparece la opcion de force clock , tengo ise 14...q sera ?

  • @jorgetoribio9539
    @jorgetoribio9539 7 лет назад +2

    buena tarde tendras el archivo .bit de ese codigo ya trate de compilarlo varias veces y me manda errores,por fa

  • @shadowmg3136
    @shadowmg3136 5 лет назад

    Podria de aver la manera de que me pudieras ayudar o dar una breve explicacion de comorealizar un programa que es un marcador de futbol americano donde me contabilise de 3 a 7 y que vaya sumando una ves terminado el juego dar un reset para volver a contar gracias espero y puedas ayudarme buen dia

  • @junioraltamiranoantaurco2889
    @junioraltamiranoantaurco2889 8 лет назад

    disculpa que modificación harías si tienes que contar de 0 a 9 y luego de 0 a 8, 0 a 7 etc?

    • @nancy7160
      @nancy7160 8 лет назад +1

      +junior altamirano antaurco hola pues debes modificar la entrada si quieres que de 7 bastaría con poner (2 downto 0), ya que el valor maximo es 7 y solo ocuparemos tres bits.
      Bueno eso creo saludos.

    • @Elp4pi
      @Elp4pi 8 лет назад

      +junior altamirano antaurco si lo hizo del 0 al 9 en binario, si lo quieres de 0 a 8 nada más cambiale el "1001" por un "1000" y de 0 a 7 ponle un "0111" etc

  • @eapgyt8846
    @eapgyt8846 5 лет назад

    Donde descargaste ESE VHDL IDE? D:

  • @leomartinez7502
    @leomartinez7502 2 года назад

    Me podrías pasar el código

  • @fernandovillalobos9922
    @fernandovillalobos9922 4 года назад

    weaaaaaaaaaaaaaaaaaa, no sirve .