Video 3: ALU en VHDL

Поделиться
HTML-код
  • Опубликовано: 11 окт 2024

Комментарии • 75

  • @andresleonardo1997
    @andresleonardo1997 6 лет назад +5

    Hola! Solo quiero decir que mañana tengo parcial y estoy repasando de muy buena forma con estos videos. Muchisimas gracias!

  • @arturomartinez7452
    @arturomartinez7452 9 лет назад +1

    Hola que tal, solo escribo para agradecer por los tutoriales, los he venido siguiendo y y he aprendido demasiado, soy nuevo en estos temas y lo que he aprendido es bastante. Nuevamente gracias.

    • @CarlosFajardoA
      @CarlosFajardoA  9 лет назад

      arturo martinez Gracias por el comentario.

  • @haroldmurilloperez5095
    @haroldmurilloperez5095 5 лет назад +1

    Profe muchas gracias, excelente explicación espero sigas subiendo material de este tipo

  • @neriomo
    @neriomo 8 лет назад +1

    La música de fondo inspira ! buenos los videos gracias por las referencias.! Saludos

  • @wilday
    @wilday 10 месяцев назад +1

    El pive: 😐😑😐
    La música: 🧚‍♂🌄🏔🦅😍🤩

  • @CarlosFajardoA
    @CarlosFajardoA  11 лет назад

    Hola,
    Gracias por el mensaje. Bueno tu mismo te respondiste la pregunta. Estoy de acuerdo contigo es una lástima que hayan quitado el modo gráfico. En el video 3.1 explico cómo hacer una simulación en VHDL, es decir, la forma en que se debe simular en las versiones posteriores a las 10. Este video es sólo para circuitos combinacionales (que no usan reloj), en estos días subo un video para la simulación de circuitos secuenciales. Saludos.

  • @alejandrosolis3376
    @alejandrosolis3376 7 лет назад

    Consulta, siguiendo todos tus videos, en este punto no me aparecen todas las librerías solo la 1164, como las integro??

  • @LK-hu8by
    @LK-hu8by 3 года назад

    Buen video!

  • @oapazbal
    @oapazbal 10 лет назад

    Tablillas de desarrollo hay muchas, ya sea de la marca Xilinx o Altera, y algunas están diseñadas para el ámbito académico; mi duda es: ¿Que tablilla de desarrollo están utilizando para implementar de manera física los ejemplos que se manejan en esta serie de videos?

    • @CarlosFajardoA
      @CarlosFajardoA  10 лет назад

      Hola,
      Nosotros generalmente utilizamos sistemas de desarrollo de Xilinx, en la UIS contamos con "Spartan-3E FPGA Starter Kit Board" y "Spartan-3A DSP 1800A Edition" en los laboratorios. Tenemos otras que utilizamos para proyectos de investigación que tienen FPGA más grandes como una Spartan 6.

  • @Ferrotandil
    @Ferrotandil 9 лет назад +7

    che, muy buenos los vídeos pero la próxima sin música o bájala un poco. gracias

    • @CarlosFajardoA
      @CarlosFajardoA  8 лет назад +2

      +Atila el Huno Hola, gracias por la sugerencia. Ya la tuve en cuenta. Los nuevos videos están sin música.

    • @elvictortutoriales
      @elvictortutoriales 5 лет назад

      a mi me parece genial asi como esta

  • @santiagomachado6565
    @santiagomachado6565 4 года назад

    te vuelvo a amar

  • @estelabarboza5467
    @estelabarboza5467 6 лет назад +1

    buen video, muchas gracias. Como implementarias un carry?

    • @Lovistarful
      @Lovistarful 4 года назад

      Carry o acarreo puede tomar 2 valores solamente...... 1 y 0..... por ejemplo si te pide A+B+carry...... hay dos opciones posibles A+B+0001( que es cuando carry es uno en binario), A+B+0000( que es cuando carry es 0 en binario), esto lo suelen pedir en operaciones separadas, asi que asignarias un numero a cada operacion.

  • @richardpereyra6964
    @richardpereyra6964 5 лет назад

    HOLA BUENAS UNA CONSULTA SI TUVIERA 3 OPERACIONES MI OPERACION EN MSB SERIAN 3 BITS ?? O MANTENDRIA EN 2 BITS

  • @AaciniOzambrano
    @AaciniOzambrano 5 лет назад

    En xilinx podemos programar en una gal 22v10 ??

  • @lagarto3887
    @lagarto3887 5 лет назад

    Gracias, muy bueno

  • @camiloandreszambrano8590
    @camiloandreszambrano8590 9 лет назад

    Buen día, Cuando hago la simulación y abro el RTL no me salen conectados los Mux y no se porque, lo hago tal cual como esta el video... Ayuda por favor

  • @diegorosas5861
    @diegorosas5861 5 лет назад

    Muy buen video, una pregunta: ¿para que sirve lo de and y or? ¿Son multiplicación y suma?

    • @maurosup4321
      @maurosup4321 5 лет назад

      Si, pero suma y multiplicación logica de un solo bit. Y no una suma aritmética.

    • @diegorosas5861
      @diegorosas5861 4 года назад

      @@maurosup4321 Entonces ¿solo suma y multiplica el bit menos significativo?

    • @maurosup4321
      @maurosup4321 4 года назад +1

      @@diegorosas5861 No, en estos casos, para entradas y salidas de unicamente una compuerta and o or, no tiene sentido hablar da mas o menos significativo.
      Por ejemplo:
      Si tenes una and de X entradas la salida será la multiplicación logica de las X entradas, y cada entrada tiene unicamente dos niveles posibles (1 o 0) y la salida tambien será 1 o 0 .
      Solo tendrá sentido hablar de mas o menos significativo si haces un circuito con multiplas compuertas, entonces pensarás por ejemplo, la salida de la primera and será el mas significativo, la salida de la segunda el siguienete mas significativo y la salida de la última and el menos significativo. Segun especificaciones del problema particular.
      Pero con una sola compuerta la salida multiplicará todas las entradas y será 1 o 0.
      Ejemplo de and de dos entradas A y B
      Entradas: A=0, B= 0 --> salida=0
      Entradas: A=0, B= 1 --> salida=0
      Entradas: A=1, B= 0 --> salida=0
      Entradas: A=1, B= 1 --> salida=1

    • @diegorosas5861
      @diegorosas5861 4 года назад

      @@maurosup4321 Muchas gracias:)

  • @nancy7160
    @nancy7160 8 лет назад

    me encanta como explicas tus vídeos :D

    • @CarlosFajardoA
      @CarlosFajardoA  8 лет назад

      +Nn Zz Muchas gracias por el comentario. Espero que te sea de mucha ayuda.

    • @nancy7160
      @nancy7160 8 лет назад

      Carlos Fajardo claro que son de ayuda . Gracias :D

  • @IPridek
    @IPridek 7 лет назад

    Excelente video :)

  • @carcez
    @carcez 7 лет назад

    muy bueno el video, si tengo alguna otra consulta, donde le puedo hacer las preguntas.

  • @edgarcalochcampos1930
    @edgarcalochcampos1930 3 года назад

    gran vídeo, solo una duda: ¿Al realizar la suma de ambos números binarios, el número binario que da como resultado no necesitaría más bits para su representación? Por ejemplo, suponiendo que tenemos un ALU 2:0... 101+110 = 1011

    • @kaoD
      @kaoD Год назад +1

      Hola Edgar en ese caso se produce overflow y los bits "sobrantes" se pierden. Algunas ALUs esto lo indican con un carry bit a la salida.

  • @hfjimenezs
    @hfjimenezs 8 лет назад

    Saludos Profe gracias por los videos, una pregunta existe la posibilidad de que la inferencia de su rtl sea diferente a la mia, es una duda que poseo soy nuevo y pues queria saber por que me ha salido diferente si la tv es la misma.
    Gracias por su atencion.

    • @CarlosFajardoA
      @CarlosFajardoA  8 лет назад

      +0x80 jS Hola, claro que si. El RTL es la forma como el software sintetiza el circuitos en la FPGA. Si se cambia algo, como la versión del software o el tipo de FPGA que definimos al principio del proyecto, entonces es muy probable que el diagrama RTL cambie. Saludos.

    • @carlosdf7109
      @carlosdf7109 7 лет назад

      En la versión 14.7 el RTL que se obtiene difiere bastante con el tuyo

  • @davidsebastianrodriguezmej7840
    @davidsebastianrodriguezmej7840 11 лет назад

    una pregunta... hago todo esto pero me sale este error "Line 44. + can not have such operands in this context." para la resta tambien, a que se debe este?

    • @alvarogonzalezgaleano5886
      @alvarogonzalezgaleano5886 11 месяцев назад

      ¿puede que haya que importar alguna librería? Aunque no sé, recién estoy entrando en el mundo del VHDL.

  • @AnGeLDeMoN1320
    @AnGeLDeMoN1320 9 лет назад

    Hola la función Test Bench Waveform que mencionas en el minuto 12:15 no aparece en mi programa, tengo que descargarla? si es así podrías explicarme como?

    • @joelias209
      @joelias209 8 лет назад

      A mi tampoco me sale, alguien q pueda ayudar

    • @CarlosFajardoA
      @CarlosFajardoA  8 лет назад

      +AnGeLDeMoN1320 Hola, el Test Bench Waveform sólo esta disponible para las versiones antiguas ISE. Si están trabajando con una versión superior a la versión 12, entonces las simulaciones se deben hacer con VHDL. En el video 3.1 explico cómo hacerlo. Saludos.

    • @joelias209
      @joelias209 8 лет назад

      +Carlos Fajardo gracias amigo

  • @camiloandreszambrano8590
    @camiloandreszambrano8590 9 лет назад

    buen dia! trato de hace un ALU para un laboratorio de la universidad, pero en una asignacion es

    • @CarlosFajardoA
      @CarlosFajardoA  9 лет назад +1

      Camilo Andres Zambrano Quizas debes poner el uno asi '1', es decir,

    • @camiloandreszambrano8590
      @camiloandreszambrano8590 9 лет назад

      si muchas gracias!!! ahora cuando lo sintetizo todo esta bien sin errores, pero en el esquemático me salen solo conectadas algunas compuertas lógicas, pero no los multiplexores... me puede ayudar por favor

    • @camiloandreszambrano8590
      @camiloandreszambrano8590 9 лет назад

      mi correo es czambrano9028@gmail.com si me puede contactar seria magnifico, gracias

  • @joelvallejos8400
    @joelvallejos8400 10 лет назад

    excelente

  • @TheCASASAO
    @TheCASASAO 10 лет назад

    profe y para desarrollar el codigo de la alu sin las librerias std_logic en la parte aritmetica. gracias por la ayuda

  • @jafetalexiscruzgracia2821
    @jafetalexiscruzgracia2821 5 лет назад

    hola para implemetarlo en la nexys como seria el ucf?

  • @1Dercor0
    @1Dercor0 10 лет назад

    Buen video, una pregunta como puedo hacer una divicion?, tengo mi entrada y trato de dividirla entre 2 y marca error. Ayudame porfavor.

    • @CarlosFajardoA
      @CarlosFajardoA  10 лет назад

      Hola. Gracias por el comentario. La división no se puede hacer directamente en VHDL, para hacer la división necesitas un módulo especial (se les llama modulo CORE IP). En ISE existe una herramienta que se llama core xilinx generator que te puede servir para implementar el core de división.

  • @fromerosxft
    @fromerosxft 4 года назад

    como puedo colocar el complemento a 1 ?

  • @neftiarias9642
    @neftiarias9642 8 лет назад

    que tal muy buenas tardes mira tengo este problema me sale esto y no se por que se
    Error (10500): VHDL syntax error at ula.vhd(25) near text "+"; expecting "(", or "'", or "."

    • @nicolasruiz5831
      @nicolasruiz5831 8 лет назад

      falta las librerias
      IEEE;
      use IEEE.std_logic_1164.all;
      use IEEE.numeric_std.all;
      use IEEE.std_logic_arith.all;
      use IEEE.std_logic_unsigned.all;

  • @nesrojas3644
    @nesrojas3644 11 лет назад

    hola, que buen video, me gustaria que me pudieras explicar como instalar el xilins en linux mint, ademas si se puede con una tarjeta spartan 3E. gracias

    • @CarlosFajardoA
      @CarlosFajardoA  11 лет назад

      Hola,
      En mi pagina digitalesuis.wikidot.com, en la sección de RECURSOS están las instrucciones para instalar ISE en ubuntu. Espero te sirvan. Saludos.

    • @taniarojas8256
      @taniarojas8256 10 лет назад

      profe le kiero agradeser por todo lo k me enseño y mire k la verdad el colegio sinai se quedo en una parte muy grande de mi corazón grasias

  • @DuvanForero0508
    @DuvanForero0508 4 года назад

    Buen Vídeo, pero intente descargando el programa pero tengo la versión 2019.2 y me aparece distinta no se como iniciar

  • @rafaelosvaldooliveraamigon8034
    @rafaelosvaldooliveraamigon8034 11 лет назад

    y si quiero hacer una la alu de dos numeros de 4 bits solo se cambiarian las entradas y ya o que cambiaria uregnete !!!!!!!!!!!

    • @CarlosFajardoA
      @CarlosFajardoA  11 лет назад

      Hola, pensaría que sólo cambia el tamaño de las entradas, es decir, de 7 bits pasa a 4 bits.

  • @cristianvegetales1513
    @cristianvegetales1513 6 лет назад

    Yo ocupo: Galaxy v. 6.3IR35, de Cypress Semiconductor Corporation

  • @NayGalii
    @NayGalii 9 лет назад +1

    Y como es el contador Síncrono?

    • @CarlosFajardoA
      @CarlosFajardoA  9 лет назад +1

      Nay Galii El video 8, explica cómo hacer un contador sícrono. ruclips.net/video/vTmBuR-JgvM/видео.html

    • @NayGalii
      @NayGalii 9 лет назад

      Carlos Fajardo muchas gracias.
      P.D. Sus tutoriales son excelentes, usted realiza un buen trabajo, gracias por compartir con nosotros su conocimiento.

  • @franciscojavierchavezcarba3669
    @franciscojavierchavezcarba3669 4 года назад

    Cuando quiero sintetizar me aparece este mensaje "+ can not have such operands in this context", para la operación de sumar y la de restar. Alguien me puede orientar por favor?

    • @franciscojavierchavezcarba3669
      @franciscojavierchavezcarba3669 4 года назад

      Problema resuelto: Agregué la librería que usa en este video, yo tenía una diferente. :D

    • @edgarcalochcampos1930
      @edgarcalochcampos1930 3 года назад

      @@franciscojavierchavezcarba3669 hola, qué problema tienes con la suma y resta?

    • @franciscojavierchavezcarba3669
      @franciscojavierchavezcarba3669 3 года назад

      @@edgarcalochcampos1930 Me faltaba agregar una librería, por eso no funcionaba. Pero ya quedó. Gracias

  • @kevinivandiazsolis
    @kevinivandiazsolis Год назад

    Ya no existe Test Bech

  • @ivan12mtz
    @ivan12mtz 10 лет назад

    Y el link???!!!!

  • @ignacioasensi6269
    @ignacioasensi6269 7 лет назад

    gracias por el video, pero la música es insoportable

  • @cristobalcarvallo7439
    @cristobalcarvallo7439 Год назад

    Pero que wea la música

  • @davidsebastianrodriguezmej7840
    @davidsebastianrodriguezmej7840 11 лет назад

    JAJA olvidalo ya supe por que fue, un error de librerias.

  • @westhlyjosesarabiacarrillo4497
    @westhlyjosesarabiacarrillo4497 6 лет назад

    Buen día muy buena explicación. una pregunta, como se implementaría con un selector de 1 bit?