What is a Block RAM in an FPGA?

Поделиться
HTML-код
  • Опубликовано: 11 окт 2024

Комментарии • 54

  • @jacobseal
    @jacobseal 3 года назад +6

    Nice explanation. Just ordered the go board yesterday. Can't wait to get started.

  • @Gruftgrabbler
    @Gruftgrabbler 3 года назад +1

    I am learning BlueSpec and have to do a exercise where I need Block Ram. I had no idea what this is so thank you for this video :)

  • @yukeyang9643
    @yukeyang9643 6 лет назад +4

    Thanks for your video,but I don't really understand the first two ways of generating a BRAM at around 12:39.It would be much better if you can describe it using some more specific instances,such as code snippets or showcasing it yourself. Still,this is an awesome video,best regards from China.

  • @ahmadmaihreze9492
    @ahmadmaihreze9492 6 лет назад

    thank you it was usful , i wait for more details of other components in FPGA , thanks

  • @kaypope1581
    @kaypope1581 7 лет назад +1

    Awesome video! Thanks for explaining on BRAM in detail.

  • @ThinhNguyen-pf3zd
    @ThinhNguyen-pf3zd 6 лет назад +3

    Really love this, thanks a lot

  • @muhammedfayas5907
    @muhammedfayas5907 5 месяцев назад

    Hello Sir, can you please make a video how to store the text file in block RAM.

  • @kedharguhan
    @kedharguhan 3 года назад

    I am not able to wrap my head around what exactly makes, a BRAM. Since BRAM has variable width and depth, does it mean each and every bit of it is independent and addressable?

  • @lidar532
    @lidar532 5 лет назад +1

    Hi Russel
    Could you please create some BRAM examples on EDAplayground that could then be migrated to the GOboard.

  • @tonmoyarif9747
    @tonmoyarif9747 5 лет назад

    Wonderful explanation 👏👏👏

  • @k.wonderwei3221
    @k.wonderwei3221 4 года назад +1

    thank you for your tutorial. I'm desperately looking for an example or tutorial for block RAM instantiation. do you have one please? Thanks

    • @HaseebKhan-hl4vm
      @HaseebKhan-hl4vm 3 года назад

      Try working on some examples related to VGA. Those examples usually use block RAM's and you will also get a chance to practice. Another way to practice is to create a GCD calculator using (datapath+controller) and try feeding the design values from a ROM.

  • @Dhaif_El-Jaber
    @Dhaif_El-Jaber Год назад

    thank you for your help i understand verlog from your channel just

  • @prithvivelicheti287
    @prithvivelicheti287 4 года назад

    Loved it ! So clearly explained.Thank you. Would be helpful if you explain stuff with Intel FPGAs

  • @chatgpt94274
    @chatgpt94274 7 лет назад

    great explanation again

  • @Andrew-eg2pc
    @Andrew-eg2pc 4 года назад

    Is there any FPGA verilog example of reading and writing BRAM?

  • @zack11235
    @zack11235 6 лет назад +2

    Very concise! Thank you :)

  • @xmotoFF
    @xmotoFF 7 лет назад +1

    keep them comin'!

  • @wisnueepis3593
    @wisnueepis3593 5 лет назад

    Could you give an instance to initialize the bram module on new blank verilog project. So, i could store some data in it. Thanks bro

  • @MITESHSINGHRAJPUROHIT
    @MITESHSINGHRAJPUROHIT Год назад

    can provide info about how to store pixel of a pic into bRAM

  • @JL-xu5vq
    @JL-xu5vq 7 лет назад

    Hello I like your videos, could you put a link of the power point of the video to let download it please?

  • @varunrain8763
    @varunrain8763 5 лет назад

    Hi Russel,
    Could you tell me how to write a testbench for a BRAM of depth 50. I mean if the address we use for BRAM, Ex: bram(addr1) is more than 50 in terms of depth, how do you provide a 50-length long value for it in the testbench?
    Thanks,
    Varun

    • @Nandland
      @Nandland  5 лет назад +1

      Hm not sure I understand the question. In general I set my depth to a base 2 number. 64, 128, 256 etc. That way the address is always valid.

  • @محمدقيسحسينفوزي
    @محمدقيسحسينفوزي 2 года назад

    Thank you

  • @phillipneal8194
    @phillipneal8194 5 лет назад

    Hi ! I am working on a program where I use your UART_RX and UART_TX to write back and forth to my MAC. But I want to save state on the fpga between reads and writes. For example, to calculate the sum of numbers arriving on the UART_RX then send the sum back to the MAC on the UART_TX. Can I put the "sum" variable in bram and still maintain state ?

    • @Nandland
      @Nandland  5 лет назад +1

      BRAM is used for large amounts of data. "sum" is probably just a 16 or 32 bit register. That's small enough that it can go in normal register space. If it's > 1kb of memory then that's when BRAMs make sense.

    • @phillipneal8194
      @phillipneal8194 5 лет назад

      @@Nandland Thank you for responding so quickly. 8-) Ok. So I am using your uart.v code with UART_RX and UART_TX. Between the two subroutines I stuck another subroutine that is called like this
      CHANGE SMALL (.a(w_RX_Byte), .b(o_Byte));
      and then o_Byte is passed to UART_TX.
      The subroutine I use is called change.v and looks like this:
      module CHANGE
      (
      input [7:0] a,
      output reg [7:0] b
      );
      always @(*) begin
      sum

    • @phillipneal8194
      @phillipneal8194 5 лет назад

      Ahhh, a small glimmer of understanding....
      I was not using the correct architecture for passing in the full byte from UART_RX to my
      module. Inputs to a module are always wires. Outputs must be wires if they are
      going to be passed along to another module...

  • @maadnaz2999
    @maadnaz2999 3 года назад

    Nice video

  • @shubhamchoudhary4855
    @shubhamchoudhary4855 6 лет назад

    can you please make a video on distributed ram used in ultrascale fpgas and explain it in detail. thanks. awsm video

  • @zichan894
    @zichan894 4 года назад

    Awesome vedio, thanks a lot!

  • @nandithanvarma1514
    @nandithanvarma1514 4 года назад

    That was very informative..Sir I have a doubt.. Suppose Ihave a textfile and wanted to take its contents and store in this Block Ram.. is that possible?

    • @Nandland
      @Nandland  4 года назад +1

      Yes definitely, assuming the fpga itself supports this. You should be able to Google some examples of this.

  • @saheradam8029
    @saheradam8029 5 лет назад

    Thanks much appreciated

  • @노영욱-q8y
    @노영욱-q8y 7 лет назад

    I have one question. If I made '8bits width' and '1024 depth' BRAM, than whole size of memory that I made is 8*1024bits??

  • @hyemimin3582
    @hyemimin3582 6 лет назад

    Thanks for the video, I have a question.
    You mentioned at the end of video, BRAM is not recommended for large design.. then what is another option for large design?

    • @Nandland
      @Nandland  6 лет назад +1

      I think I said that I don't recommend using the interactive GUI for creating BRAM for large designs. That's when I'm talking about what method to use to create the BRAM.

    • @hyemimin3582
      @hyemimin3582 6 лет назад

      Ah, I misunderstood. Sorry. Then, What do you recommend to create BRAM? Sorry for silly question. I am a beginner of FPGA

    • @Nandland
      @Nandland  6 лет назад +1

      For beginners/small designs I DO recommend the GUI tool. Large designs though it becomes unwieldy.

  • @Sarth_draws
    @Sarth_draws 6 лет назад +1

    why do you want 1

    • @Nandland
      @Nandland  6 лет назад +2

      I didn't write code for this... so any example code that I show I didn't personally create. However this looks like just a way to create a variable length memory. 1

  • @donatorenderos2970
    @donatorenderos2970 Год назад

    Block RAM or BRAM is a type of random access memory embedded throughout an FPGA for data storage. You can use BRAM to accomplish the following tasks, Transfer data between multiple clock domains by using local FIFOs. Transfer data between an FPGA target and a host processor by using a DMA FIFO

  • @danielmoraes9637
    @danielmoraes9637 5 лет назад

    amazing, thanks

  • @thermodynamicsforhvacibr-hl4lf

    Amazing

  • @alexshepel5599
    @alexshepel5599 3 года назад

    Nice!

  • @harshiherath1234
    @harshiherath1234 Месяц назад

    thank u

  • @stoka43
    @stoka43 6 лет назад

    Thanks for the video, but you said that creating block of RAMs using interactive GUI is not recommended. Personally, I disagree with you and I think that depends on the manufacturer of the FPGA. I use xilinx core generator and I can see that it provides optimal solutions for many designs

    • @Nandland
      @Nandland  6 лет назад +2

      I recommend it for beginners. But I've worked on projects with 50+ independent Block RAM GUI created cores. It's a nightmare. I prefer inferring them when possible as you get more comfortable with FPGA design.

  • @olivialinden8699
    @olivialinden8699 3 года назад

    GREAT

  • @underscorewill
    @underscorewill 2 года назад

    poor quality

  • @rvhp
    @rvhp 3 года назад

    Thank you.