Verification of combinational adder using sv-uvm

Поделиться
HTML-код
  • Опубликовано: 5 сен 2024

Комментарии • 11

  • @venkateshiyer5073
    @venkateshiyer5073 Год назад +2

    thank you so much for explaining. for a simple adder, to have a verif environment i feel it requires lot of code & components to verify

  • @faizangokak3355
    @faizangokak3355 Год назад +1

    In uvm_sequnce, in the task body, we can make use of `uvm_do(); macro right? Which will perform request response from sequence to driver. Like
    Class...........
    seq_item req; //handler
    task body();
    `uvm_do(req);
    end task
    endclass
    can we pass the handler of the seq item in the do macro? Instead, it writes more steps. We can reduce it r, right?

  • @vamshidharreddy33
    @vamshidharreddy33 Год назад +1

    can you make videos on protocols please

  • @ZakirHussain12345
    @ZakirHussain12345 Год назад +1

    sir can you please make video on ALU verification using cocotb

    • @MunsifMAhmad
      @MunsifMAhmad  Год назад

      Not sure about cocotb but with pyuvm will try in coming future, if possible then with cocotb also..

  • @faizangokak3355
    @faizangokak3355 Год назад

    How to drive the value directly from the driver, without randomization in sequence_item?

    • @MunsifMAhmad
      @MunsifMAhmad  Год назад

      You can give hard coded value in the driver directly.

    • @faizangokak3355
      @faizangokak3355 Год назад

      @@MunsifMAhmad Yeah, that variable must be declared in the sequence item also Is it?

    • @MunsifMAhmad
      @MunsifMAhmad  Год назад

      @@faizangokak3355
      Yes..