First VHDL Project with Vivado for the ZYBO Development Board

Поделиться
HTML-код
  • Опубликовано: 11 сен 2024
  • This video guides you through the process of creating a new project with the Vivado Design Suite, using VHDL to design a Half-Adder and then program it onto the ZYBO Development Board.
    ZYBO Board Files (Download and follow the instructions on the page)
    reference.digi...
    ZYBO Master XDC File (Go to the bottom of the page for the Download Link)
    digilentinc.com...

Комментарии • 81

  • @johnmiller0000
    @johnmiller0000 3 года назад +2

    This is excellent. You explain the obvious things you need to know but no-one ever seems to bother doing! I've learned more from these 15 minutes than the countless hours and pages and videos I've endured :) Thank you.

  • @timonix2
    @timonix2 5 лет назад +3

    This was exactly what I was looking for as a first time user of Vivado. Thanks

  • @dirkrecken1072
    @dirkrecken1072 5 лет назад

    Great Tutorial. Very well explained. Not too fast to be able to follow, so no need to pause, but a lot of information in a very short time. Also you have a pleasant voice that doesn't loose a brains attention. I hope you will make more Zybo tutorials. As you see, many people hope so.

  • @javib8970
    @javib8970 4 года назад

    This is gold, finally a tutorial of vivado+vhdl that is not just the simulation

  • @subanishaik3494
    @subanishaik3494 5 лет назад +4

    Nice explanation through step by step...., do more for educate biggners. It very helpful. Thanks a lot..

  • @EnterAB
    @EnterAB 8 лет назад +11

    best tutorial ever

  • @markuscwatson
    @markuscwatson 3 года назад

    Older video (2021 now), but was still a great help as a first introduction to Vivado and the Zybo-Z7 board! Thanks so much.

  • @zhengrongzhang8224
    @zhengrongzhang8224 7 лет назад +4

    this is really helpful to start using vivado. thanks a lot!!

  • @mustafaglnr8780
    @mustafaglnr8780 5 лет назад +1

    Thanks a lot for your instructive episode as a clearer on youtube.
    keep going to build well and advance the level project with VHDL or Verilog as a language.

  • @netmaster10000
    @netmaster10000 7 лет назад +1

    Fantastic beginner tutorial! Finally allowed me to get started!

  • @OswaldChisala
    @OswaldChisala 8 лет назад

    Great tutorial Sara, you’re clear, succinct and fun to listen to. I look forward to viewing more of your videos! :)

  • @juliocesarschneidermartins3712
    @juliocesarschneidermartins3712 7 лет назад +2

    Best tutorial on the basics thanks !

  • @israelgarcia2
    @israelgarcia2 4 года назад

    So I just tried this on an ARTY Z7 board and it worked!!! Thank you

  • @pruthvibhupal2061
    @pruthvibhupal2061 7 лет назад

    Saw a ton of videos but yours was too perfect..Thanks

  • @MrJjayjohnny
    @MrJjayjohnny 5 лет назад

    Used the same steps to do my own first project. Had to grab the XDC for the Z7 board but all worked well! thanks, Thumbs up from me!

  • @menandroc
    @menandroc 4 года назад

    Very nice explained. Thanks Sara :)

  • @marycruzblas3525
    @marycruzblas3525 4 года назад

    Very good. Sara!

  • @AbishaiSingh
    @AbishaiSingh 8 лет назад +2

    Good work. Very helpful. Thanks for making this video.

  • @pennyl.8799
    @pennyl.8799 8 лет назад +1

    Well done! I went through the same process but with the Zedboard. I ran into some trouble when synthesizing and generating the bit stream due to differences in the .xdc files between the Zybo & Zedboard. For some reason the Zedboard xdc file reduced all of the iostandard expressions to one for each "bank." I wrote out the iostandard expressions for each switch and LED the way you did and it worked fine.

  • @scienceofcambridge
    @scienceofcambridge 8 лет назад

    Great tutorial, paced nicely. Well done & thank you! (looking forward to more :-) )

  • @whenwhathuh
    @whenwhathuh 8 лет назад +1

    Very Very Helpful! Thank you!!

  • @crossbones911
    @crossbones911 7 лет назад

    Best tutorial by far. Thanks a lot.

  • @LemoUtan
    @LemoUtan 8 лет назад

    Thanks loads. Same do, but with an Arty. Only difference was that the sum and carry outputs didn't get buffered - it generated only the xor and the nand gates. Otherwise everything followed thru to sw-ing and led-ing on the board as hoped. I mean expected.

  • @randydireen3566
    @randydireen3566 8 лет назад

    Extremely nice tutorial. That helped out a lot.

  • @HT_Hub
    @HT_Hub 7 лет назад

    great tutorial, so helpful, u made it so easy and clear thanks

  • @dangsingstudio7063
    @dangsingstudio7063 8 лет назад

    Really clear tutorial Sara - thanks a lot!

  • @ramakrishnakannoju2261
    @ramakrishnakannoju2261 8 лет назад

    very use full tutorial, thank you Sara.

  • @starprawn87
    @starprawn87 7 лет назад

    Very useful and clear!

  • @yassinebenryan2814
    @yassinebenryan2814 6 лет назад

    Helped me alot and i love your voice

  • @manuchaudhary7902
    @manuchaudhary7902 7 лет назад +2

    I am not able generate the bit stream. It shows error.

  • @jasona8396
    @jasona8396 6 лет назад

    Very well done video tutorial!

  • @rjrodrig
    @rjrodrig 4 года назад

    Thank you for the tutorial!

  • @margusrosin4604
    @margusrosin4604 7 лет назад

    Very good tutorial!

  • @bhanuprakashreddy
    @bhanuprakashreddy 2 года назад

    how to implement n bit adder in zybo board because zybo board has only 4 sliding switches and 4 leds can you explain how to implement n bit adder using zybo board

  • @rohankumarpatil6270
    @rohankumarpatil6270 6 лет назад

    Hello Sara, I am getting following error, could you please let me know what mistake I did?
    [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at C:/Xilinx/Vivado/2015.4/data/boards/board_files/arty-s7-25/E.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
    Thanks in advance.

  • @josemartins90
    @josemartins90 8 лет назад

    Hello Sara! Thanks for the great video! A small question... What version of Vivado are you using? Is the lab edition enough to play with the Zybo Board? Thanks in advance!

    • @sarafagin3967
      @sarafagin3967  8 лет назад

      +Jose Martins I'm using the 2015.2 Design Suite edition. I haven't tried it with the lab edition, but I don't see there being any problem.

  • @estebanmedian6904
    @estebanmedian6904 8 лет назад

    Hello Sara! Thanks for the tutorial..
    I'm new to this, I need to use the zybo and USB OTG port for connecting a keyboard, as I can do with VHDL ?? .... I do not find you need in the ZYBO_Master.xdc, Can you help me with this?

  • @ahmedhassanin3778
    @ahmedhassanin3778 8 лет назад

    Awesome Video

  • @edgarescamilla6656
    @edgarescamilla6656 7 лет назад

    Thank you so much !

  • @stevenbrowne3843
    @stevenbrowne3843 8 лет назад

    Hello Sara. Thank you for the great tutorial! I just started a class using a ZYBO and keep running into weird errors. May I contact you with questions?

  • @mangarajukona8348
    @mangarajukona8348 6 лет назад

    nicely explained

  • @liangjackie3725
    @liangjackie3725 8 лет назад

    Hello, Thanks for the great video! Now i am doing a 2 bit ripple adder using the half adder.
    i have create an half adder as you did in the video. Would you please what is the next step to create in Vivado that create a full adder using the half adder. i know the logic, but i just do not know what to do next. Thanks so much

  • @meghb.5003
    @meghb.5003 7 лет назад

    How do I assign 2 inputs in my constraint file when each input is 16 bits?

  • @aidanjin455
    @aidanjin455 6 лет назад

    Very useful.

  • @NisalDilshan
    @NisalDilshan 7 лет назад

    great tutorial ..!

  • @talalbonny312
    @talalbonny312 8 лет назад +1

    Vey useful Tutorial Sara. Where are the next tutorials?

    • @sarafagin3967
      @sarafagin3967  8 лет назад +4

      +Dr. Talal Bonny I plan on putting up a few more by the summer.

  • @mathssoso4261
    @mathssoso4261 6 лет назад

    hi, thank you for the video, please if i want to turn on LEDs of a Nexys board, but I don't have it, i wanna do the simulation, how can I do?

  • @fatihyavuz2184
    @fatihyavuz2184 7 лет назад

    hi
    i have zedboard zynq 7020
    i write simple VHDL code.
    I want to send this code to flash or SD card and run it on the board. but i can't it
    How can i solve it?
    Thanks in advance

  • @qwerty_____146
    @qwerty_____146 8 лет назад

    thank you.

  • @hpvin1
    @hpvin1 7 лет назад

    why u dont need to write a testbench?

  • @randydireen3566
    @randydireen3566 8 лет назад +1

    Hey Sara, make some more tutorials

  • @medhm2262
    @medhm2262 5 лет назад

    Pliz haw i can mak link bitween hard and soft using buses

  • @havvaerdinc4981
    @havvaerdinc4981 8 лет назад

    Hi Sara,
    Thank you very much for the video. I was wondering that why you didn't set up debugging for the ILA file. When I want to program my device, it asks for the debugging file.

    • @sarafagin3967
      @sarafagin3967  8 лет назад

      +havva erdinç Debugging is an optional process. I plan on putting up a tutorial video on using the debugging feature soon.

    • @havvaerdinc4981
      @havvaerdinc4981 8 лет назад

      This will be great, cause vivado warns me that there is unconnected pins on ILA. This is surprised me. When I want to program my device, it asks me a debugging probe file. Then I thought that it as an obligatory process. I will try this example myself.

  • @insidethebox5731
    @insidethebox5731 7 лет назад

    You said something about mismatched upper or lowercase identifiers causing errors. According to VHDL PDFs I've read the VHDL compiler is actually case insensitive.

    • @sarafagin3967
      @sarafagin3967  6 лет назад

      Yeah I've had a few people say they were able to get away with case miss-matches. When I was running through the lab, I seemed to have issues with case. It's possible newer versions are case insensitive, or I made some other mistake that was resolved when I fixed the case and didn't notice. I haven't had the chance to check as I don't currently have the software installed on my computer. I''d recommend keeping things in the same case anyways for consistency and to prevent any confusions.

    • @insidethebox5731
      @insidethebox5731 6 лет назад

      As an extreme example in Vivado 2017.1 (64-bit) build 1846317 the following two snippets are equivalent (both compile and produce same design):
      -- Allow connection of data bus as output during write operations or disconnected
      -- (high-Z) otherwise allowing other devices to use data bus while the CPU is halted.
      db_ogate: process(nDBen,DBwe,regbus) iS
      begin
      if ((nDBen and DBwe) = '1') then
      d

  • @hpvin1
    @hpvin1 7 лет назад

    Define module window ,,, how u open up it 2:20

  • @jnds965
    @jnds965 7 лет назад

    @3:40 or so: are you sure that VHDL is case-sensitive when it comes to ports? Because at least for signals and variables, identifiers are case-insensitive.

    • @sarafagin3967
      @sarafagin3967  7 лет назад

      That's been my experience with this version of Vivado.

    • @jnds965
      @jnds965 7 лет назад

      Nah. Just tested on Vivado 2016.4. This piece of Code (look at S, s):
      entity test1 is
      port(
      S : in bit_vector(1 downto 0);
      A, B : in bit;
      Y : out bit
      );
      end test1;
      architecture BHV of test1 is
      begin
      with s select Y

    • @sarafagin3967
      @sarafagin3967  7 лет назад

      Hm, the most recent version of Vivado I've used is the 2015.2 version. I'll have to double check, but I remember having issues with case sensitivity regarding the port names.

  • @nav423
    @nav423 6 лет назад

    how to create testbench file

  • @ecoBearDen
    @ecoBearDen 6 лет назад

    Nice tutorial. VHDL is NOT case sensitive, however.

  • @ahmet1348
    @ahmet1348 8 лет назад

    when i right click on A input in simulation there is no force clock value just cut, copy ....etc. so i cant set forge clock value. Does anyone has any idea why?

    • @sarafagin3967
      @sarafagin3967  8 лет назад +1

      +Hüseyin Temizkan It depends where you're right clicking. You have to click on the port in the name column to bring up the right dropdown menu.

  • @ramakrishnakannoju2261
    @ramakrishnakannoju2261 8 лет назад

    this video is for simple combinational circuits, for sequential circuits(for example practical vending machines ) there is clock(as an input ), so how can we give that input to FPGA(in .xdc file)?

    • @sarafagin3967
      @sarafagin3967  8 лет назад

      +Ramakrishna Kannoju There's a specific pin on the board you can use, L16. In the xdc file, your clock input should connect to this pin.

    • @ramakrishnakannoju2261
      @ramakrishnakannoju2261 8 лет назад

      +Sara Fagin Thank you,
      first I tried a simple counter(with reset and clk s inputs), I didn't get the counting sequence.
      can I have your email id? so I can seek some help.

    • @sarafagin3967
      @sarafagin3967  8 лет назад

      +Ramakrishna Kannoju
      Sure, you can reach me at fpga.tutor@gmail.com with any questions.

  • @cryppsomar8771
    @cryppsomar8771 6 лет назад

    Do you need the vivado license to do that ?

    • @sarafagin3967
      @sarafagin3967  6 лет назад

      To install and run Vivado you do need a license. If you already have it installed and the license has expired, you should be fine as long as you don't update it.

  • @hpvin1
    @hpvin1 7 лет назад

    ...i cant open it again if i closed the window??? so unfriendlly

    • @hpvin1
      @hpvin1 7 лет назад

      that means i cant change my entity name......

    • @sarafagin3967
      @sarafagin3967  7 лет назад

      So the window is really just for convenience. Once you've finished with the creation wizard, you can edit everything in the file still, just manually. Including changing the Entity name, adding modules, inputs and outputs. The entity name doesn't have to actually match the file name.

  • @elmerv1934
    @elmerv1934 6 лет назад

    ♥♥♥♥

  • @huzaifasajid6830
    @huzaifasajid6830 5 лет назад

    What?? Women work on embedded systems? You sure are a rare breed

  • @kr.sheelvardhanbanty9136
    @kr.sheelvardhanbanty9136 4 года назад

    I really want to learn this VHDL for VLSI Technology. I want to do Ph.D. in VLSI. Please help me and give me your mail-id. This video is very helpful.