Это видео недоступно.
Сожалеем об этом.

TANG Nano 9k FPGA | SiPEED | GOWIN GW1NR-9 | First Program and Complete Installation Tutorial # 1

Поделиться
HTML-код
  • Опубликовано: 29 мар 2023
  • TANG Nano 9k FPGA | SiPEED | GOWIN GW1NR-9 |
    First Program and Complete Installation Tutorial # 1:
    This video provides step by step tutorial on how to get started with TANG Nano 9k FPGA board.
    We ll see how to install different software and drivers.
    Write a basic counter program (using LEDs as bits) and upload it to the FPGA board.
    Following are the links as discussed in the video:
    1) VScode
    code.visualstu...
    2)Plugins
    marketplace.vi...
    marketplace.vi...
    marketplace.vi...
    3) OSS CAD Build Suite
    github.com/Yos...
    4) Zadig for USB drivers
    zadig.akeo.ie/...
    Lushaylabs Tutorial:
    learn.lushayla...
    --------------------------------------------------------------------------------------------------------------------------
    My blog: hotresistor.blo...
    RUclips: / @prodronecontrol
    Facebook: / hotresistor
    Twitter: / hotresistorblog
    ---------------------------------------------------------------------------------------------------------------------------
    Additional tags:
    FPGA
    Tang nano
    Sipeed Fpga
    GOWIN
    GOWIN GW1NR-9
    Tiny fpga Tutorial
    DIY fpga
    fpga hdmi
    fpga RGB
    JTAG Tang nano
    Jtang programming
    QSPI programming
    VCcode fpga
    OSS cad tools
    Fpga toolchain
    Lushaylabs
    Easy fpga
    prodrone control
    hotresistor blog

Комментарии • 34

  • @hadibq
    @hadibq 7 дней назад

    Nice, That was flawless. all worked perfectly as described in your video! Thanks!

  • @PhilR0gers
    @PhilR0gers 11 дней назад

    Thanks for this. I had been struggling with the native tools from the Sipeed website, but I much prefer the VSCode setup (I use Visual Studio in my day job as a software engineer).
    I couldn't believe how quickly I got the binary counter running on my FPGA by following this.
    I think my original problem was actually the drivers, so the Zadig thing was useful, too.

  • @ak2b
    @ak2b 12 дней назад

    Thanks. After a bit of Mac novice fumbling I was even able to get it to work on my M1 Air

  • @liliansirbu840
    @liliansirbu840 10 месяцев назад +1

    very nice alternative way of doing that )) please put more tutorials for gowin

  • @jackk2860
    @jackk2860 Месяц назад

    I get the following error when building "Error: ERROR: Can't open output file `...\AppData\Local\Temp\/yosys-abc-IOciQr/input.sym' for writing: No such file or directory" not sure what to do here since the path changes every time I build. The "Yosys-abc-IOciqr" changes every time.

  • @LionelSanderson
    @LionelSanderson 8 месяцев назад +1

    I had to use that exact version 2023-03-23, It took a while to figure out :P The latest will not work and fail the flash clearing/ writing for the fpga.
    Thanks for this! I was looking for a way to use VSCode!

    • @powerHungryMOSFET
      @powerHungryMOSFET 5 месяцев назад

      were you getting this error ?Error: Failed to claim FPGA device: mismatch between target's idcode and bitstream idcode, do you know anything about it?

    • @LionelSanderson
      @LionelSanderson 5 месяцев назад

      I ditched this for a cyclone dev board, i couldn't use that through USB no matter what guides I followed. I ordered USB blaster, it arrive, sat in a box. Never went back. FPGA is a steep learning curve and I have regular MCU projects onboard for now. I will try again in future with FPGA, but it will be the cyclone @@powerHungryMOSFET

    • @powerHungryMOSFET
      @powerHungryMOSFET 5 месяцев назад

      @@LionelSanderson I did setup with GOWIN IDE and Programmer, with which I am able to work on Tang Nano. It was issue with the drivers which GOWIN IDE fixed it. Thanks for the response

  • @harelbenyosef8743
    @harelbenyosef8743 Месяц назад

    Please do a video how to set the waveform viewer it will help me alot, It just dont work

  • @tungnguyennnnnnnnn5352
    @tungnguyennnnnnnnn5352 4 месяца назад

    Thank you for great video!
    btw, do you know how to create pll or any other blocks on vscode ?
    They can be created automatically on GOWIN IDE but I don't know how to do in vscode.

  • @powerHungryMOSFET
    @powerHungryMOSFET 29 дней назад

    I get this
    Error: Error: Failed to claim FPGA device: mismatch between target's idcode and bitstream idcode
    Error: bitstream has 0x1100481B hardware requires 0x0000081b

  • @VioletGiraffe
    @VioletGiraffe 10 месяцев назад +1

    Does this workflow also support VHDL? Or only Verilog?

  • @madarasm4371
    @madarasm4371 10 месяцев назад

    thank you very much. you are very helpful

  • @kenanzunic338
    @kenanzunic338 Год назад +2

    Brother, do you know what the logic level is, TTL, CMOS or some other?

    • @ProDroneControl
      @ProDroneControl  Год назад

      I have worked with only 3.3v levels. Not sure if they are 5v tolerant !! Never tested!

  • @TheZayoo
    @TheZayoo 11 месяцев назад

    Thank you for great tutorial!

  • @powerHungryMOSFET
    @powerHungryMOSFET 5 месяцев назад +1

    Hi, How can I fix this error ? Error: Failed to claim FPGA device: mismatch between target's idcode and bitstream idcode

  • @brucemuller9205
    @brucemuller9205 5 месяцев назад

    Can I do this in Visual Studio instead of vs code?

  • @aleXelaMec
    @aleXelaMec 8 месяцев назад

    any chance for more videos on tang nano? thanks

  • @conradmannering2898
    @conradmannering2898 7 месяцев назад

    What windows variant were you using please my windows 11 won't accept the driver?

  • @hval9085
    @hval9085 6 месяцев назад

    Откуда взялся fs-файл я делал всё также но у меня не работает?

  • @hadiaghaei2321
    @hadiaghaei2321 Год назад

    Thank you for your good presentation
    A Question?
    After installing the driver with Zadig, can we program the board with the original Gowin software?

    • @ProDroneControl
      @ProDroneControl  11 месяцев назад

      yes through vscode.. zadig is just for helping out in drivers installation. After drivers are successfully installed, it isn't required aymore.

    • @engjds
      @engjds 7 месяцев назад

      @@ProDroneControl Can you use VHDL this way?

  • @user-wo3bw8db5u
    @user-wo3bw8db5u 5 месяцев назад

    I did everything the same way, but it doesn’t work, he writes: Error: unable to open ftdi device: -4 (usb_open() failed)
    Error: JTAG init failed with: unable to open ftdi device

    • @ProDroneControl
      @ProDroneControl  5 месяцев назад

      Are you sure that your usb device is working fine?!

  • @snapo1750
    @snapo1750 Год назад

    very cool and very good explanation! congratulatioion.... what resources do you use to learn verilog/fpga programming or any good youtube tutorials??? the info on the internet is rather sparse.... 🙂

    • @ProDroneControl
      @ProDroneControl  Год назад +2

      Thast true.. Unlike other popular languages Verilog is not so common, probably because small portable fpga boards are not common for a common user! Nowadays, things changing and resources improving.. This board is very handy and can help make hardware for the projects that need high speed realtime processing. To learn verilog, I would say RUclips but it again comes back to how much you practice and get involved with fpga based projects.

    • @timwatson682
      @timwatson682 Год назад +1

      I'm a bit late to the party, but I found this one useful: www.isy.liu.se/edu/kurs/TSEA83/kursmaterial/vhdl/free_range_vhdl_2019.pdf when I started out, but there are a few resources out there. I use VHDL rather than Verilog, but the basic idea is the same, although the language is different. (Don't try to use both - at least not at first, or you'll go mad. Once you're proficient in one, then you can have a go at another. )
      Either way, the important thing is not nearly so much what happens (of course, this matters!) but WHEN it happens. The idea of processes, and the fact that nothing has a value until the end of that process is vitally important. This means that if you are operating on two or more signals, most of your errors will be around signals that don't line up in time. You will need to learn to love (and trust!) your simulator, because that's the ONLY way you're going to make sense of things. Oh - and line endings.VHDL uses ; as a line terminator, but again, a lot of early errors will be due to that dreaded semicolon..
      Particularly with VHDL (partly because it's so verbose) it's very helpful to find, and use, a very open style of code - put in lots of comments, and try to have only one operation or function per process. It's perfectly possible to write really tight, dense code. And it's unreadable. Don't do that.. Use sub blocks too. keep everything simple, clear and well laid out. This will help you find errors, and (if you ever start looking for a job coding HDL) looks much more impressive than dense ugly code. I know which I'd rather work with..
      Use, but beware of, opencores.org - it's an excellent resource, but quite a lot of the code doesn't;t work as advertised. It's often instructive to view things, but it's very rarely a copy and paste job - you do need to think about what you're doing. Some stuff works, but if you're use to pasting Java snippets, you're headed for a nasty shock there.. Caveat emptor.
      There's a link here: www.linuxlinks.com/excellent-free-books-learn-vhdl/ to some other free VHDL books. If you want videos, check out NANDLAND, as he has some good stuff, and for the more advanced class and inspiration, check out Whitney Knitter at Knitronics. If you aspire to be any good, you'll need a copy of Peter Ashenden's book, to really get under the skin of things, but frankly it's about as inspiring as a telephone book. It's vital, you need it, but damm it's boring - it's reference, not tutorial.
      The climb to mastery is long, and very frustrating, but well worth it. Check out the forums too - but a word to the wise - ALWAYS explain what you have already done, and what you tried to fix things but that that didn't work. FPGA forums can be very helpful, but ONLY if you evidence you've already tried - otherwise everyone will assume you're a college student trying to get someone else to do your work. They will then ignore you. Oh - and you'll often get job offers. VHDL engineers are rare, and anyone with a pulse gets job offers.
      Good luck, and keep plugging away - the rewards are well worth it.
      FPGA programming. Like everyone else, but faster. A LOT faster..