#6 Module and port declaration in verilog | verilog programming basics | explained with code

Поделиться
HTML-код
  • Опубликовано: 18 сен 2024

Комментарии • 30

  • @nagalakshmia1291
    @nagalakshmia1291 3 года назад +6

    Thank you so much sir...I really dont have any idea about verilog but your explanation is outstanding and I learnt something today and I get lot of satisfaction ......waiting for more videos sir

    • @ComponentByte
      @ComponentByte  3 года назад

      Thank you for your appreciation. You can learn all the concepts of verilog and related concepts from this channel. Happy learning.

  • @kunchemanikanthaswamy1106
    @kunchemanikanthaswamy1106 2 года назад +2

    This very unfortunate, views are 11k but likes are just 160. So please understand how much effort to put to maka a video. Who is wached this videos those are must and should be likes those video. 👍 🙏.

    • @ComponentByte
      @ComponentByte  2 года назад +1

      Thank you very much for your concern. I upload the content just to help freshers to learn vlsi related content conceptually and free of cost. Many training centre teach crap to freshers and charge a lot which is matter of concern. When I upload content i never even check how many views or likes my videos are getting. I always try to give the best content and not just theory. I will keep doing it in future also as I love sharing my knowledge. Even one individual learn something new from my tutorial then also I will feel very proud. I am uploading because of all of you. So keep learning and I will keep uploading content.Thanks.

  • @215_raveenchandra8
    @215_raveenchandra8 3 года назад +5

    At 15:23 what is "sab" on line 4,5 we haven't declared "sab " as input or output then how did we used it ??

  • @chintudewan1437
    @chintudewan1437 4 года назад

    You explained very clearly.thanx

  • @kkmittalcreations1566
    @kkmittalcreations1566 4 года назад +2

    Good one...

  • @reshmas3714
    @reshmas3714 2 года назад +1

    Thank you sir from m
    Kerala

  • @markadilgeorge3917
    @markadilgeorge3917 3 года назад

    Very good explanation. Keep going

  • @215_raveenchandra8
    @215_raveenchandra8 3 года назад +1

    At 13:21 e is wire and reg both how ....
    Because in above e expression e is already wire datatype by default but then on the very next line we made e reg type ....
    Also what if we further process this e variable then how will compiler knows that in which e(either wire or reg) we are dealing operations

    • @ComponentByte
      @ComponentByte  3 года назад

      By default every input and output port is wire.
      Say
      output e ; // it's a wire by default// only created a port // it's now unconnected
      wire e; // now declared e as wire so telling to compiler that it's data type is net type
      reg e ; // now telling compiler e as a storage component (flip flop)
      But as alredy we have taken e as both wire and reg so compiler shows error.
      Hope it helps

  • @sachinangadi42
    @sachinangadi42 3 года назад

    Really helpfull

  • @raj_rajput944
    @raj_rajput944 Год назад +1

    bhaiya as you didn't declare c1 and c2 and sab so it show error or not ?? just question from beginner as in interview he asked me this question and at that time i am not know about verilog please give answer with reason

    • @ComponentByte
      @ComponentByte  Год назад +1

      Yes, you are correct.
      I have forgotten to declare those variables. Sorry.
      I have already mentioned it on comment section.
      Thanks

  • @fahimasheerin2045
    @fahimasheerin2045 Год назад

    hi sir. wire =connecting 2 components. then how can "b" is declared as a wire? . b is a constant or wire ?

    • @ComponentByte
      @ComponentByte  Год назад

      Wire is something which allows signal to flow through it or which drives signal from one point to another and which can not store data.
      Hope you understand from above mentioned point.
      If you don't get it then please elaborate your query more so that I will be able answer you more correctly.

  • @215_raveenchandra8
    @215_raveenchandra8 3 года назад

    Sor verilog coding ke liye sabse best tool kaunsa h doesn't matter padi ho na ho clg id se chala lunga ...
    Please batae sir

    • @ComponentByte
      @ComponentByte  3 года назад

      For students, free tools you can download. XILINX ISE and Modelsim. Students free version are available. it's enough for all your needs.

  • @Gopinath-xj2by
    @Gopinath-xj2by Год назад

    in full adder design, we didn't declare sab, c1, c2. My doubt is can't we get an error like declaration error

    • @ComponentByte
      @ComponentByte  Год назад +1

      You have to declare it as wire. I have missed to declare it.Sorry.
      But I think it didn't show error during compilation.

    • @Gopinath-xj2by
      @Gopinath-xj2by Год назад +1

      Thank you for giving immediate response sir

    • @Gopinath-xj2by
      @Gopinath-xj2by Год назад

      Sir,
      One more doubt is If i prepare through all your videos.
      Is it enough to ready for the interviews??

  • @tamilvanan2081
    @tamilvanan2081 2 года назад

    Sir If we don't register the output, is it raise error ?

    • @ComponentByte
      @ComponentByte  2 года назад +2

      reg is used when something is assigned in a procedural block(always and initial).
      Wire is used in continuous assignment (assign)
      So it depends on how you use these to assign values.
      Wire is used to model combinational logic and reg is used for both sequential and combinational logic.
      So it's about when to use.

    • @tamilvanan2081
      @tamilvanan2081 2 года назад

      @@ComponentByte thank you sir..😊❤

  • @AshokKumar-ij9ys
    @AshokKumar-ij9ys 3 года назад

    is nested modules allowed in Verilog code ?

    • @ComponentByte
      @ComponentByte  3 года назад

      Nested modules not possible in verilog. But we can use port declaration of one module inside another module and this is called instantiation of module.