Static Timing Analysis (STA)

Поделиться
HTML-код
  • Опубликовано: 12 сен 2024
  • Website: www.vlsi-backe...
    Topics
    -Timing Analysis
    -Difference between Static Timing Analysis (STA) and Dynamic Timing Analysis(DTA).
    Static Timing Analysis Definition, Main steps of STA.
    -STA Inputs and Outputs
    -Timing Report
    -Clock Storage Elements (transparent latch and Flipflop)
    - Delays (Intrinsic, Propagation, Contamination, net)
    -Timing ARC
    -Timing Unate
    -Clock definitions in STA (Synchronous clock, Asynchronous clock, mutually exclusive clock, generated clock, virtual clock)
    -Timing Path Groups (In2Reg, Reg2Reg, Reg2out, In2Out)
    -Clock latency, Insertion Delay, Clock Uncertainty, Clock skew, Clock Jitter, Glitch, pulse width, duty cycle, transistion/slew.
    -Asynchronous path, Critical path, shortest path, Clock gating path, launch path, capture path, Arrival Time, Required Time.
    - CRPR(Common path pessimism removal)
    -Slack, setup time, hold time, Setup and hold time violations.
    -Recovery time, Removal time, recovery and removal violations.
    -Single cycle path, Multicycle path, False path.
    -Clock crossing domain
    -Clock domain synchronization scheme (Pulse width check, Data stability check)
    -Bottleneck analysis
    -Multi VT Cells (HVT,LVT,SVT/RVT)
    -Time Borrowing/Stealing, Time borrowing scenarios,
    - Path based STA and Graph based STA.
    -Difference between PBA and GBA and Example.

Комментарии • 43