whyRD
whyRD
  • Видео 203
  • Просмотров 1 308 126
Verilog in Action | Practical Application of FSM to Model Digital Circuits
To join our Part 2 of Verilog Practice: www.whyrd.in/s/store
Today's video resources:
All course links: whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiasts-in-nptel-july-2024-semester
To personally connect with me, follow me on :
LinkedIn- www.linkedin.com/in/rajdeep-mazumder
Instagram- rajdeep.jgd
________________________________________
Watch Next:
VLSI interview prep series: ruclips.net/p/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_
VLSI Podcast: ruclips.net/p/PL0E9jhuDlj9pHvtZ0ukqixrvHH60cagnw
VLSIgayan: ruclips.net/p/PL0E9jhuDlj9p-Hy38LUXEtM87OMBuz06l
Start a VLSI project: ruclips.net/video/OXbWBfvZxEI/видео.html
Verilog roadMap: ruclips.net/video/vRSY6S03EFg/видео.html
#whyrd #vlsi ...
Просмотров: 1 835

Видео

NPTEL JULY2024
Просмотров 10 тыс.3 месяца назад
To join our Part 2 of Verilog Practice: www.whyrd.in/s/store Today's video resources: All course links: whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiasts-in-nptel-july-2024-semester To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ruclips.net/p/PL0E9jhuDlj9qdn1jjEbrMEOo...
One commonly asked Verilog Interview Question | VLSI Puzzle | Solve with me | HDLbits
Просмотров 2,9 тыс.7 месяцев назад
To join our Part 2 of Verilog Practice : www.whyrd.in/s/store Day31: www.whyrd.in/s/store Today's video resources: HDLbits : hdlbits.01xz.net/wiki/Main_Page To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ruclips.net/p/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_ VLSI Podcast: ruclips...
My Story: How I Switched from a Software Engineer role to an Electronics Core VLSI job
Просмотров 5 тыс.7 месяцев назад
Visit : Gate : www.rlcgate.com/ My website : www.whyrd.in/ To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ruclips.net/p/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_ VLSI Podcast: ruclips.net/p/PL0E9jhuDlj9pHvtZ0ukqixrvHH60cagnw VLSIgayan: ruclips.net/p/PL0E9jhuDlj9p-Hy38LUXEtM87OMBuz...
#VLSI_Clips: One of the Best way to For ECE BTech VLSI Aspirants
Просмотров 3,6 тыс.8 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store A Bachelor's degree in Engineering (BTech) is enough to kickstart your career in VLSI Core. Follow Ajay here: its_ajaygupta1 To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series : ruclips.net/p/PL0E9jhuDlj9qdn1jjEbr...
Best VLSI & AI courses available in NPTEL JANUARY 2024 semester
Просмотров 8 тыс.9 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store All course links : whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiast-in-nptel-jan-2024-semister To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ruclips.net/p/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_ VLSI Podcast: ruclips.net/...
8 Action Point to be Market Ready in 2024 | Semiconductor Industry | VLSI |Core Electronics
Просмотров 12 тыс.9 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store For VLSI Aspirants, what is the best action point for 2024? Resources from this video : Visit My website: www.whyrd.in esim : esim.fossee.in/ Fossee: fossee.in/events/past conference locate : www.clocate.com/getresults.php?mod=0&event=vlsi&co=IN&xcs=xs-493 conference alert : www.clocate.com/getresults.php?mod=0&event=vlsi&co=IN&xcs=xs-493 Semic...
These 4 Book is Enough for Best ever 2024 | whyRD
Просмотров 1,4 тыс.9 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store The Best 4 non-technical Books for Engineers! Books links Looking Inward: amzn.to/3v9s8c6 Automatic Habits: amzn.to/3TnTOnS Deep Work: amzn.to/3uX0I9k Courage to be disliked: amzn.to/4anvs3w To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI intervie...
VLSI Engineers Work Culture | 70 Hours Work Week is Feasible | Time Management
Просмотров 3 тыс.9 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store Are you using your time perfectly? How do I Manage my time? To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ruclips.net/p/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_ VLSI Podcast: ruclips.net/p/PL0E9jhuDlj9pHvtZ0ukqixrvHH60cagnw VLSI...
What is AI ? | Tech Term Simplify | Explained to Any One |
Просмотров 36510 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store Will our circuit knowledge help us to master AI Technology? All Resources mentioned in video : whyrd.graphy.com/products/Free-Resources-for-AI Neuromorphic Quantum-Com-654f575f80b6a16838882870?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-maz...
Best VLSI courses available in NPTEL JANUARY 2024 semester (PART1)
Просмотров 10 тыс.10 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store All Resources in this video : whyrd.graphy.com/products/Electronics-Engineer-opportunities-novdec2023-655222269615bd3ab346f1ad?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interv...
VLSI Workshop | Robotics Challenge | Multiple Free online Courses for VLSI & AI
Просмотров 5 тыс.10 месяцев назад
Learn Verilog with Practice : www.whyrd.in/s/store All Resources in this video : whyrd.graphy.com/products/Electronics-Engineer-opportunities-novdec2023-655222269615bd3ab346f1ad?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interv...
Future of ELECTRONICS Engineers | AI, Neuromorphic & Quantum Computing EXPLAINED as VLSI Engineer
Просмотров 3,5 тыс.10 месяцев назад
Future of ELECTRONICS Engineers | AI, Neuromorphic & Quantum Computing EXPLAINED as VLSI Engineer
Must Do for BTech Student #vlsi #shorts
Просмотров 94610 месяцев назад
Must Do for BTech Student #vlsi #shorts
As an ECE BTech Student, how to be on the VLSI track | VLSI Podcast with whyRD
Просмотров 10 тыс.11 месяцев назад
As an ECE BTech Student, how to be on the VLSI track | VLSI Podcast with whyRD
Common VLSI Interview Question | How to approach them | VLSI clock domain #1 #shorts #vlsi #whyrd
Просмотров 69211 месяцев назад
Common VLSI Interview Question | How to approach them | VLSI clock domain #1 #shorts #vlsi #whyrd
Fab-Less or Fab-Lab: Which One is the Best Fit? | whyRD, homes of Electronics Core
Просмотров 2 тыс.11 месяцев назад
Fab-Less or Fab-Lab: Which One is the Best Fit? | whyRD, homes of Electronics Core
Are Low CGPA Harmful ? College Grade Myths and Winning Strategies | Electronics Core Jobs
Просмотров 3 тыс.Год назад
Are Low CGPA Harmful ? College Grade Myths and Winning Strategies | Electronics Core Jobs
2's Complement | 30 Days of Verilog Coding | Day 30
Просмотров 2,6 тыс.Год назад
2's Complement | 30 Days of Verilog Coding | Day 30
Verilog codes from KMap | 30 Days of Verilog Coding | day 29
Просмотров 1,4 тыс.Год назад
Verilog codes from KMap | 30 Days of Verilog Coding | day 29
Bus Multiplexer Design | 30 days of VERILOG coding | Day 28
Просмотров 1,4 тыс.Год назад
Bus Multiplexer Design | 30 days of VERILOG coding | Day 28
Design controller for Thermostat | Verification | 30 Days of Verilog | Day 27
Просмотров 1,1 тыс.Год назад
Design controller for Thermostat | Verification | 30 Days of Verilog | Day 27
Ring or Vibrate | 30 Days of Verilog Coding | Day 26
Просмотров 1 тыс.Год назад
Ring or Vibrate | 30 Days of Verilog Coding | Day 26
Verilog Module Instantiation & Routing | 30 Days of Verilog Coding | Day 25
Просмотров 1,1 тыс.Год назад
Verilog Module Instantiation & Routing | 30 Days of Verilog Coding | Day 25
Magic of K-Map | 30 Days of Verilog Coding | Day 24
Просмотров 1,2 тыс.Год назад
Magic of K-Map | 30 Days of Verilog Coding | Day 24
Digital Design using truth table | Let's Learn Verilog with Real-time Practice with Me | Day 23
Просмотров 1,5 тыс.Год назад
Digital Design using truth table | Let's Learn Verilog with Real-time Practice with Me | Day 23
Application of Verilog Generate Block | Lets Learn Verilog with real-time Practice with Me | Day 22
Просмотров 2,1 тыс.Год назад
Application of Verilog Generate Block | Lets Learn Verilog with real-time Practice with Me | Day 22
Count no of 1 | Lets Learn Verilog with real-time Practice with Me | Day 21
Просмотров 1,9 тыс.Год назад
Count no of 1 | Lets Learn Verilog with real-time Practice with Me | Day 21
Verilog For loop : can we synthesis it ? Day 20
Просмотров 2,3 тыс.Год назад
Verilog For loop : can we synthesis it ? Day 20
Reduction Operator | Lets Learn Verilog with real-time Practice with Me | Day 19
Просмотров 1,6 тыс.Год назад
Reduction Operator | Lets Learn Verilog with real-time Practice with Me | Day 19

Комментарии

  • @shamsherlykhanpathan7489
    @shamsherlykhanpathan7489 17 часов назад

    module top_module ( input [1:0] A, input [1:0] B, output z ); always @(*) begin case({A,B}) 4'b0000: z=1; 4'b0101: z=1; 4'b1010: z=1; 4'b1111: z=1; default : z=0; endcase end endmodule thank you brother for making me use my brain to use concatenation here..... i found the above one to be the best alternative as we dont have grouping of kmps.

  • @aditya_doiphode
    @aditya_doiphode 17 часов назад

    reached to last lecture 5th oct 2024

  • @abdo-zm9cx
    @abdo-zm9cx День назад

    i have an idea to make it in nested loop but i cannot implement it

  • @akhilab9715
    @akhilab9715 День назад

    i have followed the same method , buy during optimization i am getting an error like "optim1 failed to simulate" . what is the view for doing optimization, currently i have selected emModel view ,

  • @gyanaranjan3268
    @gyanaranjan3268 2 дня назад

    What about layoffs in intel ?

  • @shamsherlykhanpathan7489
    @shamsherlykhanpathan7489 2 дня назад

    dude in the case statement we have to mention whether the condition is true or not...but y to declare them in bits 1'b1???? 1 means true and 0 means false ...so do we need to mention those true 1 and false 0 in bits always??????

  • @varadpatil369
    @varadpatil369 3 дня назад

    plz upload the next video

  • @chuphojaPrakhar
    @chuphojaPrakhar 6 дней назад

    sir i started this hdlbits thing but when i submit code it shows error "Status: Internal error. Unknown runtest exit status 25 Unknown runtest exit status 25. This shouldn't happen." how can i get rid of this please tell? and thank you for taking such initiative :)

  • @RajnandiniPatil-u7h
    @RajnandiniPatil-u7h 6 дней назад

    Thanks

  • @amitavapathak-bu4oz
    @amitavapathak-bu4oz 7 дней назад

    How could I contact you?

  • @shamsherlykhanpathan7489
    @shamsherlykhanpathan7489 8 дней назад

    y always top module should be used as the name of the module ,,,, cant we keep our names bro???

  • @VersatileVishnu
    @VersatileVishnu 9 дней назад

    Hi bruh now I'm in my final year of ECE. For AIML PYTHON IDLE VS CODE Microsoft Azure AWS TensorFlow Analysis of data set collection and training the dataset module file. For VLSI design automation tools, simulation tools, verification tools, layout tools, testing tools, DFM tools, software development tools, high-level synthesis tools, and IP cores. 1. Electronic Design Automation (EDA) software 2. Cadence EDA tools 3. Synopsys EDA tools. 2 to 3 years I want to use so give me a best latest for me brother. Please tell me as soon as possible.

  • @k.aparna
    @k.aparna 9 дней назад

    For 2009 btech and 2012 mtech can try the vlsi job

  • @yournewmovies6983
    @yournewmovies6983 9 дней назад

    Bro it was were will explanation. Bro how to learn vlsi from basic to advance

  • @asifsir2689
    @asifsir2689 10 дней назад

    module top_module ( input a, b, c, d, e, output [24:0] out ); wire [24:0] top, bottom; assign top = { {5{a}}, {5{b}}, {5{c}}, {5{d}}, {5{e}} }; assign bottom = {5{a,b,c,d,e}}; assign out = ~top ^ bottom; // Bitwise XNOR // This could be done on one line: // assign out = ~{ {5{a}}, {5{b}}, {5{c}}, {5{d}}, {5{e}} } ^ {5{a,b,c,d,e}}; endmodule

  • @ManojDhamel-s1c
    @ManojDhamel-s1c 10 дней назад

    Can BCA graduates go in vlsi engineer domain.

  • @jakesasquincy5679
    @jakesasquincy5679 10 дней назад

    62415 Trantow Street

  • @asifsir2689
    @asifsir2689 11 дней назад

    module top_module( input [2:0] a, input [2:0] b, output [2:0] out_or_bitwise, output out_or_logical, output [5:0] out_not ); assign out_or_bitwise = a | b; assign out_or_logical = a || b; assign out_not[2:0] = ~a; // Part-select on left side is o. assign out_not[5:3] = ~b; //Assigning to [5:3] does not conflict with [2:0] endmodule

  • @gauravkumar-ff8gu
    @gauravkumar-ff8gu 11 дней назад

    Woke girl spotted 😂

  • @asifsir2689
    @asifsir2689 14 дней назад

    For wire4 problem. module top_module( input a,b,c, output w,x,y,z ); assign {w,x,y,z} = {a,b,b,c}; endmodule

  • @Abhinav-db1qu
    @Abhinav-db1qu 15 дней назад

    Are you on insta ?

  • @MarkAvery-l3j
    @MarkAvery-l3j 15 дней назад

    Moore Michael Jackson Kevin Lewis Laura

  • @DEVIL10987
    @DEVIL10987 16 дней назад

    bhaiya We want video on vlsi training vs GATE

  • @naveennayak8365
    @naveennayak8365 17 дней назад

    At time 0 clk=0 en=0 a=0 b=0 At time 2 clk=1 en=0 a=0 b=0 At time 3 clk=0 en=1 a=0 b=0 At time 4 clk=1 en=1 a=1 b=1 At time 5 clk=0 en=0 a=0 b=1 At time 6 clk=1 en=0 a=0 b=1 At time 8 clk=0 en=0 a=0 b=1 because module top(); reg clk, en, a, b; initial begin clk = 1'b0; en = 1'b0; a = 1'b0; b = 1'b0; // Fixed $monitor formatting $monitor("At time %d clk=%b en=%b a=%b b=%b", $time, clk, en, a, b); #3 en = 1'b1; // Enable 'en' after 3 time units #5 en = 1'b0; // Disable 'en' after 5 time units $finish; end always #2 clk = ~clk; // Toggle clock every 2 time units always @(en) a = clk; // Assign 'a' the value of 'clk' whenever 'en' changes always begin wait (en) #1 b = clk; // After 'en' is set, wait 1 time unit and assign 'b' the value of 'clk' end endmodule

  • @OmManekar-t9y
    @OmManekar-t9y 17 дней назад

    I think we need to consider out is an reg type

  • @renukak9138
    @renukak9138 17 дней назад

    answer is D

  • @Prashantuppar707
    @Prashantuppar707 18 дней назад

    Bro , need support to build skills for become a VLSI design engineer 😢🙏

  • @MS_riderz.47
    @MS_riderz.47 18 дней назад

    Bro i am getting Electronic with specialization of VLSI Design and technology in NMIT college bengaluru which is comes under top 15 colleges in Karnataka. Should i join this branch

  • @MS_riderz.47
    @MS_riderz.47 18 дней назад

    Bro i am getting Electronic with specialization of VLSI Design and technology in NMIT college bengaluru which is comes under top 15 in Karnataka. Should i join this branch

  • @SHREYAMUKHERJEE-zu9kf
    @SHREYAMUKHERJEE-zu9kf 19 дней назад

    answer d

  • @renukak9138
    @renukak9138 19 дней назад

    net will notstore, it is just change its state when we give an input, reg will store or hold the value untill we give next

  • @harshpujare
    @harshpujare 20 дней назад

    this is the best tutorial for magic and basic digital design layout i've seen on youtube, thank you so much!

  • @onlineofffersandearnings
    @onlineofffersandearnings 21 день назад

    Give me some vlsi startup names

  • @PeminaKarun
    @PeminaKarun 22 дня назад

    851 Allene Burgs

  • @SAhellenLily
    @SAhellenLily 24 дня назад

    Thank you

  • @x_sparky_x4691
    @x_sparky_x4691 24 дня назад

    is it important to do m tech if i apply foe job and get exp as a b tech i guess it will work fine for me.

  • @SAhellenLily
    @SAhellenLily 26 дней назад

    Thank you ❤

  • @robertcanberkozturk7725
    @robertcanberkozturk7725 Месяц назад

    Thank you sir!

  • @Ajeshajs
    @Ajeshajs Месяц назад

    3:09 how u done that copy ??

  • @kpj4985
    @kpj4985 Месяц назад

    Hey Hi please please Give me Gauidance ...I am Referring One book Verilog LRM in That Book I Can't Understand Chepter Number 15 ..... Please Suggest me Where I find Material Regarding Chepter no 15... Topic Name : "" Timing Checks using Stability Window"" And "Timing Checks and Clock Control " Example How To use $setup $Hold $skew $fullskew

  • @kandapuswathi6097
    @kandapuswathi6097 Месяц назад

    Hi currently I'm sevicenow developer, I want to switch to vlsi now. Is it okay or recomended ti work from home?

  • @vaibhavnakka7668
    @vaibhavnakka7668 Месяц назад

    I use Intel i3, is it okay if i upgrade RAM to 8gb and512gb SSD ?

  • @avinashmaurya7227
    @avinashmaurya7227 Месяц назад

    bhaiya, plz upload the next video also.

  • @theebanpillai9617
    @theebanpillai9617 Месяц назад

    Hi @whyRD, thanks for the great explanation. I have one quick question, I dont quite understand the AND gate operation Gates4 example, why does the AND output triggers high when the transaction is about to end?

  • @ullasyr79
    @ullasyr79 Месяц назад

    Motivation ❎demotivation✅

  • @karans1960
    @karans1960 Месяц назад

    thanks thanks thanks bro, i was very tensed to install all the open sources before watching this video. u have help me a lot not only in installing but guiding us in building a career in VLSI. i guess u are the only one who is clearly telling all the aspects and not only giving suggestions but also help us to learn by these kind of videos. its been only a week i subscribed ur channel but definitely i have made my mind to build career in vlsi by ur guidance. keep making more and more videos we will support u for sure. thanks again🤗🤗🤗

  • @EZHILARASAN-zr7hc
    @EZHILARASAN-zr7hc Месяц назад

    Good evening bro...thanks for your video...i have a confusion in it...i am a third year student...can you please suggest me the flow to study....for career as physical design engineer...waiting for your reply❤😊😊

  • @mdashhab6968
    @mdashhab6968 Месяц назад

    What to do in 1st year in btech for VLSI

  • @jilt813
    @jilt813 Месяц назад

    Why is my ngspice command showing error

  • @sekharchandra4474
    @sekharchandra4474 Месяц назад

    I have some projects titles