Rania Hussein
Rania Hussein
  • Видео 50
  • Просмотров 344 356
2 Installing Flutter SDK
2 Installing Flutter SDK
Просмотров: 19

Видео

1. Installing Git
Просмотров 122 месяца назад
Installing Flutter for frontend development
3. Installing Android SDK
Просмотров 112 месяца назад
3. Installing Android SDK
4. Installing Visual Studio Code
Просмотров 242 месяца назад
4. Installing Visual Studio Code
13. Setting Up Firebase
Просмотров 172 месяца назад
13. Setting Up Firebase
14. Firebase Example Email Recognition
Просмотров 92 месяца назад
14. Firebase Example Email Recognition
EE/CSE 475 Remote Pet Playroom Project
Просмотров 10810 месяцев назад
EE/CSE 475 Remote Pet Playroom Project
ECEDHA Innovative Program award 2022
Просмотров 5042 года назад
Innovative Program award from the ECE Department Heads Association (ECEDHA) for founding the Remote Hub Lab (RHLab) at the University of Washington. The award was presented at the 2022 annual #ECEDHA conference (3/28) in New Orleans, Louisiana. #UW #ECE Video credit: Pablo Orduna of Labsland
CPUlator Tutorial
Просмотров 8 тыс.2 года назад
CPUlator tutorial made by my graduate student Matt Guo
ModelSim Features
Просмотров 8742 года назад
Tutorial made by my Teaching Assistant Anatoliy Martynyuk
17. Navigating the Remote Arduino Lab
Просмотров 2942 года назад
Navigating remote Arduino lab on LabsLand. Video created by Gorkem Caylak.
16. Arduino on TinkerCAD
Просмотров 2652 года назад
Video created by Gorkem Caylak
15. Arduino Motor Control
Просмотров 3242 года назад
Introduction to microcontrollers using Arduino. Video created by my teaching assistant Gorkem Caylak.
14. Building Circuits
Просмотров 2992 года назад
Building basic electric circuits using TinkerCAD. Video is created by my teaching assistant Gorkem Caylak.
13. Circuits: Introduction to TinkerCAD
Просмотров 2312 года назад
Introduction to fundamantals of electrical circuits using TinkerCAD. Videos are created by my teaching assistant Gorkem Caylak
12. Product modeling: Basket
Просмотров 2252 года назад
12. Product modeling: Basket
11. Drawing sheets and BOM
Просмотров 4032 года назад
11. Drawing sheets and BOM
10. Introduction to Assemblies
Просмотров 3272 года назад
10. Introduction to Assemblies
9. CAD modeling: Mouse
Просмотров 2882 года назад
9. CAD modeling: Mouse
8. CAD Modeling: Paddle Freeform
Просмотров 3012 года назад
8. CAD Modeling: Paddle Freeform
7. CAD Modeling: Lamp
Просмотров 3242 года назад
7. CAD Modeling: Lamp
6. CAD modeling: Power strip
Просмотров 3302 года назад
6. CAD modeling: Power strip
5 CAD Modeling: Basic commands (Part 3)
Просмотров 1492 года назад
5 CAD Modeling: Basic commands (Part 3)
4 CAD Modeling: Basic commands (Part 2)
Просмотров 1872 года назад
4 CAD Modeling: Basic commands (Part 2)
3 CAD Modeling: Basic commands (Part 1)
Просмотров 2202 года назад
3 CAD Modeling: Basic commands (Part 1)
2. CAD Modeling: Cloud Storage and Creating a project default
Просмотров 1892 года назад
2. CAD Modeling: Cloud Storage and Creating a project default
1. CAD Modeling: What is Fusion 360
Просмотров 2512 года назад
1. CAD Modeling: What is Fusion 360
EE475 Embedded Systems Capstone Project (Pandemic Edition)
Просмотров 1,1 тыс.3 года назад
EE475 Embedded Systems Capstone Project (Pandemic Edition)
EE/CSE 475 Embedded Systems Capstone Projects (3/3)
Просмотров 8144 года назад
EE/CSE 475 Embedded Systems Capstone Projects (3/3)
EE/CSE 475 Embedded Systems Capstone Projects (2/3)
Просмотров 1,3 тыс.4 года назад
EE/CSE 475 Embedded Systems Capstone Projects (2/3)

Комментарии

  • @RaghavendraBandaru-w6t
    @RaghavendraBandaru-w6t 7 месяцев назад

    I followed the same procedure to create the new project, but i cant find the QPF file, V file and JSON files in the lab1 folder. please help me !!!

  • @SoumyaRanjan-oo5ji
    @SoumyaRanjan-oo5ji 7 месяцев назад

    Can You Explain Me In Detail Which Code Are You Trying To Write For What Purpose?

    • @Maxlife69
      @Maxlife69 2 месяца назад

      CPUlator is a Nios II, ARMv7, MIPS, and RISC-V RV32 simulator of a computer system (processor and I/O devices) and debugger that runs in a modern web browser. It is designed as a tool for learning assembly-language programming and computer organization.

  • @wesleymarques5029
    @wesleymarques5029 9 месяцев назад

    Thanks!! Here from Brazil

  • @ktofa3822
    @ktofa3822 Год назад

    Hello, i’m looking for analog ic design courses.Thank you

  • @josephshaff5194
    @josephshaff5194 Год назад

    Thanks Rania !

  • @user-je9uw5sy7s
    @user-je9uw5sy7s Год назад

    From yahye hunter and muniir to liicaato

  • @gregory6488
    @gregory6488 Год назад

    Can you convert the schematic to vhdl in quartus?

  • @m8111806
    @m8111806 Год назад

    If you get invalid JTAG error, it must be due to .sof file. Go to top right section where it says SOF manager, then click on ... and locate the path for .sof file.

  • @khanhnguyennhut6269
    @khanhnguyennhut6269 Год назад

    My input and output in testbench are missing. They do not appear in the Objects tab where I would be able to pull them over to the simulation tab. How can I solve it?

  • @undefinedguy2223
    @undefinedguy2223 Год назад

    Thank you

  • @60jaydeokapale30
    @60jaydeokapale30 Год назад

    I need your help how can I contact you?

    • @raniahussein4974
      @raniahussein4974 Год назад

      Feel free to post your question here.

    • @60jaydeokapale30
      @60jaydeokapale30 Год назад

      In a national competition (eyantra India) Im stuck on a task of Frequency counter using high frequency clock So, I needed some help

  • @tesfalegntadesse3123
    @tesfalegntadesse3123 2 года назад

    thanks for every thing Madam! can you make a video for this question? Design a multiplier which calculates the product of two 4-bit numbers. Use half adder and/or full adder as basic building blocks and use other glue logic. Draw a schematic and verify it by simulation in Quartus. please I need your help! thanks again for your cooperation.

    • @raniahussein4974
      @raniahussein4974 Год назад

      You can design the multiplier using the full adder. You need to think about how you can upscale the design for multiple bits. Perhaps start with upgrading the full adder example to add 4 bits numbers and then take it from there to convert the design to a multi-bit multiplier. Theres an old video on my channel about drawing a schematic and simulating it with waveforms but it is as made for an older version of quartus so you would need to do some adjustments but hopefully it’ll give you a good start. Best wishes.

  • @yuni256
    @yuni256 2 года назад

    Congratulations

  • @niniediwea
    @niniediwea 2 года назад

    Hi, can you explain about 1/8 frequency divider using D flip flop?

  • @dragon55544216
    @dragon55544216 2 года назад

    Such a beautiful simulation to achieve thevenin's theorem...... Thank you so much 🤍

  • @jstro-hobbytech
    @jstro-hobbytech 2 года назад

    I have a very rudimentary fpga someone gave me an arduino Vidor. It has no buttons or leds but you can make hats for it. I would have to build the hat then define it in the hardware would I? My fpga has an atsamd51 processor with an Intel cyclone fpga chip along with a mini USB, hdmi ports along with Bluetooth and wifi modules. I am an hobbyist but have read books on logic and have built ttl based circuits like clocks, counters, phased lock loop, learned k mapping but not very well. Should I just buy a low-end xilinx with buttons and 4 digit 7 seg displays. I have all of this hardware and know how to make prototype boards that would connect to the arduino Vidor. Of course I'd have to learn how to connect and declare the modules properly. I'm just wondering your opinion as I've watched some of you're videos before and I know you're someone I can trust because of your experience. Thank you for the lessons ma'am.

  • @jstro-hobbytech
    @jstro-hobbytech 2 года назад

    Very good lesson by the way

  • @jstro-hobbytech
    @jstro-hobbytech 2 года назад

    Is this connected to an actual fpga?

    • @raniahussein4974
      @raniahussein4974 2 года назад

      You can use quartus for design and modelsim for verification without connecting to an fpga. Refer to video 4/4 in the playlist for connecting with an fpga.

  • @vidyaviswanathan3896
    @vidyaviswanathan3896 2 года назад

    Congratulations and so happy for you Professor Rania 👏👏👏

  • @kulasekarans5428
    @kulasekarans5428 2 года назад

    I'm using a DE0-nano board. When polling some registers in Signal tap for debugging, the register's name appears RED in color. I don't know what it signifies. If it appears in RED, then the register's value stays at zero. But, if I assign the register to an output port, its value changes to black and it functions as it is supposed to. But I have unwanted ports in the bdf now. Can anybody tell me what the RED color actually signifies and how can I fix it? Thanks in advance :)

    • @varadpatil369
      @varadpatil369 7 месяцев назад

      We are facing the same issue, how did u solve it

  • @azbycxdw8471
    @azbycxdw8471 2 года назад

    very nice :)

  • @jamesclawson9243
    @jamesclawson9243 2 года назад

    "Our project was substantially delayed by many PID tuning issues" - Every Engineering Student to build a drone.

  • @nezerbtc6936
    @nezerbtc6936 2 года назад

    I don't have the modelsim_ase folder. on version 21.1 is it compulsory to use your directory pls what can I do

  • @mohamedlarbibouchellal6037
    @mohamedlarbibouchellal6037 2 года назад

    Thank you, hope you ll make more of FPGA tutorial !!

  • @Vladimi96
    @Vladimi96 2 года назад

    Thaaaaaanksss

  • @andresmpa
    @andresmpa 2 года назад

    You saved my ass, thanks a million

  • @0m3r682
    @0m3r682 2 года назад

    Hi Mam, Your QP lite vids are really helpful. Thank you.

  • @rosstisslaw7346
    @rosstisslaw7346 2 года назад

    Дякую

  • @grayosbert7312
    @grayosbert7312 2 года назад

    h5957d #von.ong

  • @MrRiceroni
    @MrRiceroni 3 года назад

    If you receive this error: "Error (199013): HDL output file name contains a non-existent directory path" try restore defaults to fix it: Simulation -> Simulation Settings -> Restore Defaults ->Save That worked for me!

  • @Dontonethefirst
    @Dontonethefirst 3 года назад

    Perfect exactly what I needed.

  • @guillaume8437
    @guillaume8437 3 года назад

    So useful! Thank you! By the way, I used the SOF manager to flash the sof file on the DE SoC board, not the device programmer but it will be the same...

    • @guillaume8437
      @guillaume8437 3 года назад

      Rania Hussein, is it normal that every single time I try to change trigger conditions and/or add/remove nodes to analyse the system asks me to recompile? Moreover, it proposes to make a "rapid compile" but the button "rapid compile" is not clickable...

  • @Jnglfvr
    @Jnglfvr 3 года назад

    There's absolutely no need to add resistor R4. Just leave it open circuited, run it and read out v0. That's your Vth. Then short circuit it and rerun for Isc (current through R3) and divide that into the previous v0 for Rth

  • @aymanhide7983
    @aymanhide7983 3 года назад

    What about the pin assignments ?!! and on the DE1-SOC if I am using an oscilloscope to check the signal where is the ground when all the pin are by directional

  • @susilveiraa
    @susilveiraa 3 года назад

    thank you so muchhhh, your help was great

  • @michelfernandes842
    @michelfernandes842 3 года назад

    gostei muito

  • @michelfernandes842
    @michelfernandes842 3 года назад

    melhor video

  • @michelfernandes842
    @michelfernandes842 3 года назад

    nota 10

  • @michelfernandes842
    @michelfernandes842 3 года назад

    top demais

  • @electroniccorner6829
    @electroniccorner6829 3 года назад

    Thank you

  • @zakts911
    @zakts911 3 года назад

    BE CULSEC représente l'enseeiht

  • @usamatabassum8517
    @usamatabassum8517 3 года назад

    Error (suppressible): (vsim-12110) The -novopt option has no effect on this product. -novopt

    • @ivancuyler
      @ivancuyler 2 года назад

      in Simulation settings, hit "restore defaults" then from the vsim line delete " -novopt"

    • @deinaborichard-koko3056
      @deinaborichard-koko3056 Год назад

      thanks, legend

  • @usamatabassum8517
    @usamatabassum8517 3 года назад

    I have experiencing error during simulation

  • @ridwanulhasantanvir6456
    @ridwanulhasantanvir6456 3 года назад

    8:20 enable use

  • @husseinmohamed3522
    @husseinmohamed3522 3 года назад

    very helpful, thanks

  • @nishthasharma3343
    @nishthasharma3343 3 года назад

    I'm not able to find the working directory. I am a complete newbie and i dont know where to find directory .. or which directory

    • @raniahussein4974
      @raniahussein4974 3 года назад

      You need to install Quartus version 17.0 first before following the videos.

  • @husseinmohamed3522
    @husseinmohamed3522 3 года назад

    Very helpful, Thanks

  • @gabrielvidigal9772
    @gabrielvidigal9772 3 года назад

    very podre

  • @Blutharsch
    @Blutharsch 3 года назад

    This video was great, thank you!

  • @bhuvaneshs.k638
    @bhuvaneshs.k638 3 года назад

    How to add a pre existing file to this prjt hierarchy