Electro DeCODE
Electro DeCODE
  • Видео 210
  • Просмотров 1 306 712
Detection of Cardiac Disorders using VCG Signal Analysis | Machine Learning
Author and Presenter: Syeda Tehreem Haider
This research proposes a pattern recognition framework for precise detection of Bundle Branch Block, Cardiomyopathy, and Dysrhythmia using Vectorcardiography (VCG).
#machinelearning
#vectorcardiography
#ecg
#heartdisease
#cardiomyopathy
#cardiacarrest
#electrocardiogram
#electrocardiography
#machinelearningproject
#signalprocessing
#intellcity
Просмотров: 300

Видео

Pattern Analysis for Biometric Authentication using ECG | Machine Learning
Просмотров 2058 месяцев назад
Author and Presenter: Muhammad Asim Our latest research introduces a biometric identification method that uses electrocardiogram (ECG) signals. #machinelearning #biometricsystem #biometric #ecg #electrocardiogram #electrocardiography #machinelearningproject #biometricauthentication #intellcity
EMG Signal based Detection of ALS and Myopathy | Machine Learning
Просмотров 3739 месяцев назад
Author and Presenter: Faiza Afzal This research focuses on identifying neuromuscular diseases such as ALS and myopathy through the analysis of Electromyography (EMG) signals, employing a machine-learning approach. The study illustrates the efficacy of utilizing cepstral domain features in combination with the KNN classifier to achieve precise and dependable classification of NMDs based on EMG s...
Biometric Authentication using ECG and PCG | Machine Learning
Просмотров 44610 месяцев назад
Author and Presenter: Asad Mehmood In our latest research, we introduce an innovative biometric identification method that combines the power of electrocardiogram (ECG) signals with heart sounds in the form of phonocardiogram (PCG) signals. Our findings reveal that by merging ECG and PCG data, we've achieved a remarkably accurate approach to person identification. Join us as we explore this exc...
Fall Detection System using Accelerometer Signal Analysis | Machine Learning
Просмотров 73311 месяцев назад
Author and Presenter: Saad Areeb Summary: Falls pose a critical health risk, often resulting in severe injuries or fatalities and profoundly affecting individuals' quality of life. This study introduces an innovative machine learning-based fall detection system that utilizes a single low-cost accelerometer sensor to capture body acceleration signals during daily activities. This research presen...
Emotion Recognition using EEG Signal Analysis | Machine Learning
Просмотров 2,9 тыс.Год назад
Author and Presenter: Muhammad Bilal Sajid Summary: In this study, we introduce a machine-learning model that recognizes emotions from Electroencephalogram (EEG) signals. Using the publicly available DREAMERs dataset, we identify 4 emotions - sadness, joy, anger, and pleasure. Our approach involves segmenting EEG signals into 30-second segments, noise reduction with a band-stop filter, and extr...
Machine Learning | Identification of Bronchiolitis and Bronchiectasis | Lung Sound Analysis
Просмотров 513Год назад
Author and Presenter: Syed Ali Faraz Jaffrey Background: In this research, we developed a methodology to automatically identify lung disease through an intelligent system. ICBHI lungs sound database was used for this study. A total of 64 lung recordings, selected from three pulmonary classes namely normal, bronchiectasis and bronchiolitis were used for this purpose. After experiments, the perfo...
Bitwise shift operations in AVR Microcontroller | LED and Sensor Interfacing | AVR Programming in C
Просмотров 520Год назад
In this video you will the following task featuring bitwise pin programming: Monitor door sensor connected to bit 1 of Port B such that when it opens, turn on the LED on PC.7, else LED is off. The simulation will also be verified through Proteus Software. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ruclips.net/p/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4da3...
I/O Programming of Pin and Ports in C | AVR Microcontroller Programming in C
Просмотров 573Год назад
In this video you will the following task featuring bitwise pin programming: Monitor bit 7 of Port B. If it is 1 make bit 4 of Port B input; otherwise, change pin 4 of Port B to output. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ruclips.net/p/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4da3dK #microcontroller #arduino #arduinoproject #arduinomega #pic #PICMic...
Bitwise Pin Programming in C | LED and Sensor Interfacing | AVR Microcontroller
Просмотров 462Год назад
In this video you will learn the following task featuring bitwise pin programming: Monitor door sensor connected to bit 1 of Port B such that when it opens, turn on the LED, else LED is off. The simulation will also be verified through Proteus Software. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ruclips.net/p/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4da3dK...
Toggle Pin of Port B with Delay | Bitwise Programming in C | AVR Microcontroller Programming
Просмотров 804Год назад
In this video you will learn about how to toggle a certain bit of any Port continuously without disturbing three rest of the pins from the same Port. The simulation will also be verified through Proteus Software. AVR Programming Task in this Video: AVR C Program to toggle only bit 4 of Port B continuously without disturbing the rest of pins of that Port. For more videos related to Microprocesso...
Using Port as an Input with logic | AVR Microcontroller Programming
Просмотров 477Год назад
In this video you will learn about how to use any Port of an AVR microcontroller as an input with logic. A byte of data from one port will be conditionally sent to other ports of an AVR. The simulation will also be verified through Proteus Software. AVR Programming Task in this Video: Get a byte of Data from Port B. If the data is less than 100, send it to Port C; otherwise, send it to Port D. ...
Using Port as an Input | AVR Microcontroller Programming
Просмотров 566Год назад
In this video you will learn about how to use any Port of an AVR microcontroller as an input. A byte of data from one port will be sent to another port of an AVR. The simulation will also be verified through Proteus Software. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ruclips.net/p/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4da3dK #microcontroller #arduino #...
Toggle Port with some delay | AVR Microcontroller Programming
Просмотров 986Год назад
Toggle Port with some delay | AVR Microcontroller Programming
Using Port as an Output | AVR Microcontroller Programming
Просмотров 1,1 тыс.Год назад
Using Port as an Output | AVR Microcontroller Programming
Make a new Project in ATMEL/AVR STUDIO and Run Simulation in Proteus | AVR Microcontroller
Просмотров 5 тыс.Год назад
Make a new Project in ATMEL/AVR STUDIO and Run Simulation in Proteus | AVR Microcontroller
Machine Learning | Diagnosis of Diabetes using Pulse Plethysmograph | PuPG
Просмотров 686Год назад
Machine Learning | Diagnosis of Diabetes using Pulse Plethysmograph | PuPG
Machine Learning | Sign Language Interpretation | EMG
Просмотров 301Год назад
Machine Learning | Sign Language Interpretation | EMG
fvtool in MATLAB | System Analysis | Filter Visualisation Tool | DSP using MATLAB
Просмотров 1,4 тыс.2 года назад
fvtool in MATLAB | System Analysis | Filter Visualisation Tool | DSP using MATLAB
How to save High Quality Figures in MATLAB? | MATLAB Tutorial
Просмотров 5 тыс.2 года назад
How to save High Quality Figures in MATLAB? | MATLAB Tutorial
How to Create 7 Segment Controller in FPGA using Verilog? | FPGA Programming in Vivado| Nexys 4 FPGA
Просмотров 23 тыс.2 года назад
How to Create 7 Segment Controller in FPGA using Verilog? | FPGA Programming in Vivado| Nexys 4 FPGA
Frequency Response of a System in MATLAB | DSP using MATLAB
Просмотров 9 тыс.2 года назад
Frequency Response of a System in MATLAB | DSP using MATLAB
Poles and Zeros in MATLAB | Pole Zero Plot | DSP using MATLAB
Просмотров 10 тыс.2 года назад
Poles and Zeros in MATLAB | Pole Zero Plot | DSP using MATLAB
IFFT in MATLAB | Inverse Fast Fourier Transform | Inverse DFT using builtin function
Просмотров 4,6 тыс.2 года назад
IFFT in MATLAB | Inverse Fast Fourier Transform | Inverse DFT using builtin function
Animated Plots in MATLAB | FFT Animation in MATLAB
Просмотров 9862 года назад
Animated Plots in MATLAB | FFT Animation in MATLAB
FFT in MATLAB | DFT using builtin Function | Fast Fourier Transform
Просмотров 4,6 тыс.2 года назад
FFT in MATLAB | DFT using builtin Function | Fast Fourier Transform
DarkNet-19 based Decision Algorithm for the Diagnosis of Ophthalmic Disorders
Просмотров 5612 года назад
DarkNet-19 based Decision Algorithm for the Diagnosis of Ophthalmic Disorders
Transfer Learning Based Approach for Detection of COVID-19 from X-Ray Images
Просмотров 8632 года назад
Transfer Learning Based Approach for Detection of COVID-19 from X-Ray Images
Machine Learning Project | A Survey on ECG, PPG, & PPG Based Biometric Authentication System
Просмотров 7532 года назад
Machine Learning Project | A Survey on ECG, PPG, & PPG Based Biometric Authentication System
Machine Learning | Music Genre Classification using SVM and Empirical Mode Decomposition
Просмотров 1,9 тыс.3 года назад
Machine Learning | Music Genre Classification using SVM and Empirical Mode Decomposition

Комментарии

  • @JuanMartinez-v3e
    @JuanMartinez-v3e 7 дней назад

    took me 6 minutes to figure out he wasnt speaking english

  • @rootiabonn6053
    @rootiabonn6053 Месяц назад

    can you add english subtitle? thank uuu

  • @moosanoor7416
    @moosanoor7416 2 месяца назад

    Great explained ❤

    • @ElectroDeCODE
      @ElectroDeCODE 2 месяца назад

      Thanks. we need your support and encouragement to continue.

  • @skkl8045
    @skkl8045 2 месяца назад

    I fckn hate the fact that every hindu youtuber use english titles for hindi videos. Are you guys stupid? Use ur language

  • @abdulqadoos8476
    @abdulqadoos8476 2 месяца назад

    Awsm ❤

  • @ashutoshjoshi7605
    @ashutoshjoshi7605 3 месяца назад

    my board is not able to auto connect. im getting this error: ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Digilent/210274532241A. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-register this hardware target. ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.

  • @muhammadfarhan-ux8fd
    @muhammadfarhan-ux8fd 3 месяца назад

    Mashallah Good job Bro hum apn Fyp project machine. Learning per ka raha han . Guidelines ka Lia app What's number send Kar dan. From University of wah.

    • @ElectroDeCODE
      @ElectroDeCODE 2 месяца назад

      please contact us via out facebook page.

  • @amruthbhuyar7803
    @amruthbhuyar7803 3 месяца назад

    Sir 8x8 matrix multiplication please

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Thanks for your comment. We will make that video very soon. stay connected.

  • @MuhammadNoman-e7j
    @MuhammadNoman-e7j 3 месяца назад

    Realy helpful video ❤‍🩹💫 Thank you so much sir .

  • @Aftabalam-xm7li
    @Aftabalam-xm7li 3 месяца назад

    Sir graph ko kis thera rotate karte hun

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Charts that support rotate mode typically display the rotate icon in the axes toolbar. You can also interactively explore data using built-in axes interactions that are enabled by default. For example, you can rotate the view of a 3-D axes by dragging the axes.

  • @PandiyanM22PHD064
    @PandiyanM22PHD064 3 месяца назад

    can you share the coding sir

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Thanks for your reply. See the comment below.

  • @ayushikotwal6293
    @ayushikotwal6293 3 месяца назад

    can u plz give ur source code

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Please contact the admin via email or facebook.

  • @pushkarbarve6098
    @pushkarbarve6098 3 месяца назад

    why did you write cout<<count<<endl; outside the while loop

  • @muhammedfayas5907
    @muhammedfayas5907 3 месяца назад

    Hello Sir, can u please tell me how to access the BRAM in FPGA board, and how to store text file in the BRAM.

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      This is how it could be done: module bram_example ( input wire clk, input wire we, input wire [addr_width-1:0] addr, input wire [data_width-1:0] din, output wire [data_width-1:0] dout ); parameter addr_width = 10; parameter data_width = 8; // BRAM instantiation reg [data_width-1:0] bram [(2**addr_width)-1:0]; reg [data_width-1:0] dout_reg; always @(posedge clk) begin if (we) begin bram[addr] <= din; end dout_reg <= bram[addr]; end assign dout = dout_reg; endmodule

  • @nidatasneem1792
    @nidatasneem1792 4 месяца назад

    excellent

  • @zezo2895
    @zezo2895 4 месяца назад

    Thank you sir❤

  • @deepeshbhattarai2
    @deepeshbhattarai2 4 месяца назад

    Hello Where can you provide me your code zip file?

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Thanks for your reply. See the comment below.

  • @iqraaftab3081
    @iqraaftab3081 4 месяца назад

    Outstandig

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Thanks and keep watching for more exciting stuff.

  • @VikasDwivedi-li9md
    @VikasDwivedi-li9md 4 месяца назад

    thaq very much sir...aap jaise channel ki jrurat hai aap se pdne ke bad puri trf se concpt smjh me aaya

  • @syedraziuddin9889
    @syedraziuddin9889 4 месяца назад

    good

  • @TheTrooper1878
    @TheTrooper1878 4 месяца назад

    why put english title when the video is not in english?

  • @kar-dt1hk
    @kar-dt1hk 4 месяца назад

    verg good video,can you please send me this code

  • @HamasAlesha_456
    @HamasAlesha_456 4 месяца назад

    Sister

  • @SenayudhaS
    @SenayudhaS 5 месяцев назад

    why mine is inverted

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Check your connections carefully.

    • @thymanbearpig7555
      @thymanbearpig7555 2 месяца назад

      I had the same issue, the case statement here should actually make cathode output reg to be declared as [0:6].

    • @ElectroDeCODE
      @ElectroDeCODE 2 месяца назад

      @thymanbearpig7555 thanks for your input dear

  • @sonalpal7821
    @sonalpal7821 5 месяцев назад

    Wow thanks good expernation from India ❤❤

  • @Wardahbatool_
    @Wardahbatool_ 5 месяцев назад

    So proud of you.... keep being awesome girly 🎉❤

  • @rabianaseer9808
    @rabianaseer9808 5 месяцев назад

    Keep shining roll no. 1😌🫂

  • @fabrute7345
    @fabrute7345 5 месяцев назад

    More powers to u girl❤❤

  • @malaikasumble2637
    @malaikasumble2637 5 месяцев назад

    So proud of u Girl keep growing❤️

  • @BASHA6365
    @BASHA6365 5 месяцев назад

    Videos are not Uploading From you.What Happened?

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Noted. we will upload new videos soon. keep watching and supporting. thanks.

  • @karthiktammali2423
    @karthiktammali2423 5 месяцев назад

    Hello sir Can I get the source code

  • @biswojyotikhandual
    @biswojyotikhandual 5 месяцев назад

    brother can you give the code for transformer vibration fault detection using machine learning

  • @junaidbutt9513
    @junaidbutt9513 5 месяцев назад

    For loop ki smj ni ae

  • @balajiprithviraj5145
    @balajiprithviraj5145 5 месяцев назад

    Sir one doubt, in the test bench code you have given A=3'b0011 which not correct na? You have to give A=4'b0011 right? But still the code worked properly?

  • @user-mw9nw5nc6i
    @user-mw9nw5nc6i 5 месяцев назад

    we are waiting for 8 bit flipflop and more, please start making video again on verilog

  • @aamirali6976
    @aamirali6976 6 месяцев назад

    Thanks Sir. Appriciated

  • @iftikharalimemon
    @iftikharalimemon 6 месяцев назад

    Good work dear

  • @1batch2batch
    @1batch2batch 6 месяцев назад

    Sir aapne bahot hi badhiya knowledge share Kiya hain. Sukriya ❤

  • @chass7050
    @chass7050 6 месяцев назад

    Buy a good mic lil bro

  • @DesperoxLoL
    @DesperoxLoL 7 месяцев назад

    Great work! can u please share the code with us?

  • @Zainab-Ib9
    @Zainab-Ib9 7 месяцев назад

    thank you

  • @ygspoetrycollection3684
    @ygspoetrycollection3684 8 месяцев назад

    I love you sir❤

  • @sinemkaya7132
    @sinemkaya7132 8 месяцев назад

    İs there an article for your work ?

  • @shraddhakatara7131
    @shraddhakatara7131 8 месяцев назад

    i have nothing in my workmodule what to do now

  • @saikrishna1444
    @saikrishna1444 8 месяцев назад

    Can you provide the source code?

  • @mvp-_-sohaib6461
    @mvp-_-sohaib6461 8 месяцев назад

    thanks

  • @technologymix4709
    @technologymix4709 8 месяцев назад

    Very impressive teaching technique

  • @ranajameel8452
    @ranajameel8452 8 месяцев назад

    Can you share an 8- bit multiplier

    • @ElectroDeCODE
      @ElectroDeCODE 3 месяца назад

      Noted. A new video will be uploaded soon.

  • @mattnaganidhi942
    @mattnaganidhi942 8 месяцев назад

    Interesting 🤔

  • @aboueltayebyahiaoui6053
    @aboueltayebyahiaoui6053 8 месяцев назад

    ty my friend but where is the code ?